capture initial work on an accelerometer daughter card for MegaMetrum
authorBdale Garbee <bdale@gag.com>
Thu, 19 Apr 2012 17:45:50 +0000 (11:45 -0600)
committerBdale Garbee <bdale@gag.com>
Thu, 19 Apr 2012 17:45:50 +0000 (11:45 -0600)
23 files changed:
License.pdf [new file with mode: 0644]
Makefile [new file with mode: 0644]
attribs [new file with mode: 0644]
gafrc [new file with mode: 0644]
megadaughter.pcb [new file with mode: 0644]
megadaughter.sch [new file with mode: 0644]
project [new file with mode: 0644]
symbols/3.3V-plus.sym [new file with mode: 0644]
symbols/5V-plus.sym [new file with mode: 0644]
symbols/ABM8.sym [new file with mode: 0644]
symbols/ADXL78.sym [new file with mode: 0644]
symbols/LTC1682-5.sym [new file with mode: 0644]
symbols/STM32L151-48.sym [new file with mode: 0644]
symbols/USBmicroB.sym [new file with mode: 0644]
symbols/capacitor.sym [new file with mode: 0644]
symbols/conn-16.sym [new file with mode: 0644]
symbols/conn-4.sym [new file with mode: 0644]
symbols/conn-8.sym [new file with mode: 0644]
symbols/gnd.sym [new file with mode: 0644]
symbols/inductor.sym [new file with mode: 0644]
symbols/led2.sym [new file with mode: 0644]
symbols/resistor.sym [new file with mode: 0644]
symbols/title-E-bdale.sym [new file with mode: 0644]

diff --git a/License.pdf b/License.pdf
new file mode 100644 (file)
index 0000000..01fceb2
Binary files /dev/null and b/License.pdf differ
diff --git a/Makefile b/Makefile
new file mode 100644 (file)
index 0000000..ec78348
--- /dev/null
+++ b/Makefile
@@ -0,0 +1,50 @@
+# intentionally want to rebuild drc and bom on every invocation
+all:   drc partslist partslist.csv pcb
+
+drc:   megadaughter.sch Makefile
+       -gnetlist -g drc2 megadaughter.sch -o megadaughter.drc
+
+partslist:     megadaughter.sch Makefile
+       gnetlist -g bom -o megadaughter.unsorted megadaughter.sch
+       head -n1 megadaughter.unsorted > partslist
+       tail -n+2 megadaughter.unsorted | sort >> partslist
+       rm -f megadaughter.unsorted
+
+partslist.csv: megadaughter.sch Makefile
+       gnetlist -g partslistgag -o megadaughter.unsorted megadaughter.sch
+       head -n1 megadaughter.unsorted > partslist.csv
+       tail -n+2 megadaughter.unsorted | sort -t \, -k 8 >> partslist.csv
+       rm -f megadaughter.unsorted
+
+pcb:   megadaughter.sch project Makefile
+       gsch2pcb project
+
+# note that 'gschlas -e foo.sch' will embed all symbols in the schematic, this
+# might be a really good idea for publishing designs to the web that others
+# might review?  Like this example from DJ:
+#
+#web :
+#        for i in channel.sch ethernet.sch power.sch mcu.sch; do \
+#          cp $$i tmp.sch ; \
+#          gschlas -e tmp.sch ; \
+#          mv tmp.sch ${WEB}/$$i; \
+#        done
+
+# this shoves local work out to the git.gag.com repository
+push:  
+       git push --mirror
+
+megadaughter.xy:       megadaughter.pcb
+       pcb -x bom megadaughter.pcb
+
+megadaughter.bottom.gbr:       megadaughter.pcb
+       pcb -x gerber megadaughter.pcb
+
+zip:   megadaughter.bottom.gbr megadaughter.bottommask.gbr megadaughter.fab.gbr megadaughter.top.gbr megadaughter.topmask.gbr megadaughter.toppaste.gbr megadaughter.topsilk.gbr megadaughter.group2.gbr megadaughter.group3.gbr megadaughter.plated-drill.cnc megadaughter.xy  Makefile # megadaughter.xls
+       zip megadaughter.zip megadaughter.*.gbr megadaughter.*.cnc megadaughter.xy # megadaughter.xls
+
+clean:
+       rm -f *.bom *.drc *.log *~ megadaughter.ps *.gbr *.cnc *bak* *- *.zip 
+       rm -f *.net *.xy *.cmd *.png partslist partslist.csv
+       rm -f *.partslist *.new.pcb *.unsorted megadaughter.xls
+
diff --git a/attribs b/attribs
new file mode 100644 (file)
index 0000000..92a91e7
--- /dev/null
+++ b/attribs
@@ -0,0 +1,6 @@
+value
+vendor
+vendor_part_number
+footprint
+loadstatus
+device
diff --git a/gafrc b/gafrc
new file mode 100644 (file)
index 0000000..1e29942
--- /dev/null
+++ b/gafrc
@@ -0,0 +1,3 @@
+; empty the library path and populate it with only our own symbols
+(reset-component-library)
+(component-library "./symbols")
diff --git a/megadaughter.pcb b/megadaughter.pcb
new file mode 100644 (file)
index 0000000..e7e7157
--- /dev/null
@@ -0,0 +1,1542 @@
+# release: pcb 20110918
+
+# To read pcb files, the pcb version (or the git source date) must be >= the file version
+FileVersion[20070407]
+
+PCB["MegaMetrum" 325000 125000]
+
+Grid[100.0 0 0 0]
+Cursor[56200 124400 0.000000]
+PolyArea[200000000.000000]
+Thermal[0.500000]
+DRC[600 1000 600 500 1500 700]
+Flags("nameonpcb,clearnew,snappin,liveroute")
+Groups("1,c:2,s:3")
+Styles["Signal,1000,3100,1500,1000:Power,2500,6000,3500,1000:Fat,4000,6000,3500,1000:Skinny,600,2402,1181,600"]
+
+Symbol[' ' 1800]
+(
+)
+Symbol['!' 1200]
+(
+       SymbolLine[0 4500 0 5000 800]
+       SymbolLine[0 1000 0 3500 800]
+)
+Symbol['"' 1200]
+(
+       SymbolLine[0 1000 0 2000 800]
+       SymbolLine[1000 1000 1000 2000 800]
+)
+Symbol['#' 1200]
+(
+       SymbolLine[0 3500 2000 3500 800]
+       SymbolLine[0 2500 2000 2500 800]
+       SymbolLine[1500 2000 1500 4000 800]
+       SymbolLine[500 2000 500 4000 800]
+)
+Symbol['$' 1200]
+(
+       SymbolLine[1500 1500 2000 2000 800]
+       SymbolLine[500 1500 1500 1500 800]
+       SymbolLine[0 2000 500 1500 800]
+       SymbolLine[0 2000 0 2500 800]
+       SymbolLine[0 2500 500 3000 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 2000 4000 800]
+       SymbolLine[1500 4500 2000 4000 800]
+       SymbolLine[500 4500 1500 4500 800]
+       SymbolLine[0 4000 500 4500 800]
+       SymbolLine[1000 1000 1000 5000 800]
+)
+Symbol['%' 1200]
+(
+       SymbolLine[0 1500 0 2000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1000 1000 800]
+       SymbolLine[1000 1000 1500 1500 800]
+       SymbolLine[1500 1500 1500 2000 800]
+       SymbolLine[1000 2500 1500 2000 800]
+       SymbolLine[500 2500 1000 2500 800]
+       SymbolLine[0 2000 500 2500 800]
+       SymbolLine[0 5000 4000 1000 800]
+       SymbolLine[3500 5000 4000 4500 800]
+       SymbolLine[4000 4000 4000 4500 800]
+       SymbolLine[3500 3500 4000 4000 800]
+       SymbolLine[3000 3500 3500 3500 800]
+       SymbolLine[2500 4000 3000 3500 800]
+       SymbolLine[2500 4000 2500 4500 800]
+       SymbolLine[2500 4500 3000 5000 800]
+       SymbolLine[3000 5000 3500 5000 800]
+)
+Symbol['&' 1200]
+(
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 1500 0 2500 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[0 3500 1500 2000 800]
+       SymbolLine[500 5000 1000 5000 800]
+       SymbolLine[1000 5000 2000 4000 800]
+       SymbolLine[0 2500 2500 5000 800]
+       SymbolLine[500 1000 1000 1000 800]
+       SymbolLine[1000 1000 1500 1500 800]
+       SymbolLine[1500 1500 1500 2000 800]
+       SymbolLine[0 3500 0 4500 800]
+)
+Symbol[''' 1200]
+(
+       SymbolLine[0 2000 1000 1000 800]
+)
+Symbol['(' 1200]
+(
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[0 1500 0 4500 800]
+)
+Symbol[')' 1200]
+(
+       SymbolLine[0 1000 500 1500 800]
+       SymbolLine[500 1500 500 4500 800]
+       SymbolLine[0 5000 500 4500 800]
+)
+Symbol['*' 1200]
+(
+       SymbolLine[0 2000 2000 4000 800]
+       SymbolLine[0 4000 2000 2000 800]
+       SymbolLine[0 3000 2000 3000 800]
+       SymbolLine[1000 2000 1000 4000 800]
+)
+Symbol['+' 1200]
+(
+       SymbolLine[0 3000 2000 3000 800]
+       SymbolLine[1000 2000 1000 4000 800]
+)
+Symbol[',' 1200]
+(
+       SymbolLine[0 6000 1000 5000 800]
+)
+Symbol['-' 1200]
+(
+       SymbolLine[0 3000 2000 3000 800]
+)
+Symbol['.' 1200]
+(
+       SymbolLine[0 5000 500 5000 800]
+)
+Symbol['/' 1200]
+(
+       SymbolLine[0 4500 3000 1500 800]
+)
+Symbol['0' 1200]
+(
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 1500 0 4500 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[2000 1500 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4000 2000 2000 800]
+)
+Symbol['1' 1200]
+(
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1000 1000 1000 5000 800]
+       SymbolLine[0 2000 1000 1000 800]
+)
+Symbol['2' 1200]
+(
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 2000 1000 800]
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[2500 1500 2500 2500 800]
+       SymbolLine[0 5000 2500 2500 800]
+       SymbolLine[0 5000 2500 5000 800]
+)
+Symbol['3' 1200]
+(
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[2000 1500 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 3000 2000 3000 800]
+)
+Symbol['4' 1200]
+(
+       SymbolLine[0 3000 2000 1000 800]
+       SymbolLine[0 3000 2500 3000 800]
+       SymbolLine[2000 1000 2000 5000 800]
+)
+Symbol['5' 1200]
+(
+       SymbolLine[0 1000 2000 1000 800]
+       SymbolLine[0 1000 0 3000 800]
+       SymbolLine[0 3000 500 2500 800]
+       SymbolLine[500 2500 1500 2500 800]
+       SymbolLine[1500 2500 2000 3000 800]
+       SymbolLine[2000 3000 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['6' 1200]
+(
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[0 1500 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[0 3000 1500 3000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[2000 3500 2000 4500 800]
+)
+Symbol['7' 1200]
+(
+       SymbolLine[0 5000 2500 2500 800]
+       SymbolLine[2500 1000 2500 2500 800]
+       SymbolLine[0 1000 2500 1000 800]
+)
+Symbol['8' 1200]
+(
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 2500 500 3000 800]
+       SymbolLine[0 1500 0 2500 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[2000 1500 2000 2500 800]
+       SymbolLine[1500 3000 2000 2500 800]
+)
+Symbol['9' 1200]
+(
+       SymbolLine[0 5000 2000 3000 800]
+       SymbolLine[2000 1500 2000 3000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[0 1500 0 2500 800]
+       SymbolLine[0 2500 500 3000 800]
+       SymbolLine[500 3000 2000 3000 800]
+)
+Symbol[':' 1200]
+(
+       SymbolLine[0 2500 500 2500 800]
+       SymbolLine[0 3500 500 3500 800]
+)
+Symbol[';' 1200]
+(
+       SymbolLine[0 5000 1000 4000 800]
+       SymbolLine[1000 2500 1000 3000 800]
+)
+Symbol['<' 1200]
+(
+       SymbolLine[0 3000 1000 2000 800]
+       SymbolLine[0 3000 1000 4000 800]
+)
+Symbol['=' 1200]
+(
+       SymbolLine[0 2500 2000 2500 800]
+       SymbolLine[0 3500 2000 3500 800]
+)
+Symbol['>' 1200]
+(
+       SymbolLine[0 2000 1000 3000 800]
+       SymbolLine[0 4000 1000 3000 800]
+)
+Symbol['?' 1200]
+(
+       SymbolLine[1000 3000 1000 3500 800]
+       SymbolLine[1000 4500 1000 5000 800]
+       SymbolLine[0 1500 0 2000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[2000 1500 2000 2000 800]
+       SymbolLine[1000 3000 2000 2000 800]
+)
+Symbol['@' 1200]
+(
+       SymbolLine[0 1000 0 4000 800]
+       SymbolLine[0 4000 1000 5000 800]
+       SymbolLine[1000 5000 4000 5000 800]
+       SymbolLine[5000 3500 5000 1000 800]
+       SymbolLine[5000 1000 4000 0 800]
+       SymbolLine[4000 0 1000 0 800]
+       SymbolLine[1000 0 0 1000 800]
+       SymbolLine[1500 2000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 3000 3500 800]
+       SymbolLine[3000 3500 3500 3000 800]
+       SymbolLine[3500 3000 4000 3500 800]
+       SymbolLine[3500 3000 3500 1500 800]
+       SymbolLine[3500 2000 3000 1500 800]
+       SymbolLine[2000 1500 3000 1500 800]
+       SymbolLine[2000 1500 1500 2000 800]
+       SymbolLine[4000 3500 5000 3500 800]
+)
+Symbol['A' 1200]
+(
+       SymbolLine[0 1500 0 5000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 2000 1000 800]
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[2500 1500 2500 5000 800]
+       SymbolLine[0 3000 2500 3000 800]
+)
+Symbol['B' 1200]
+(
+       SymbolLine[0 5000 2000 5000 800]
+       SymbolLine[2000 5000 2500 4500 800]
+       SymbolLine[2500 3500 2500 4500 800]
+       SymbolLine[2000 3000 2500 3500 800]
+       SymbolLine[500 3000 2000 3000 800]
+       SymbolLine[500 1000 500 5000 800]
+       SymbolLine[0 1000 2000 1000 800]
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[2500 1500 2500 2500 800]
+       SymbolLine[2000 3000 2500 2500 800]
+)
+Symbol['C' 1200]
+(
+       SymbolLine[500 5000 2000 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 1500 0 4500 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 2000 1000 800]
+)
+Symbol['D' 1200]
+(
+       SymbolLine[500 1000 500 5000 800]
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[2500 1500 2500 4500 800]
+       SymbolLine[2000 5000 2500 4500 800]
+       SymbolLine[0 5000 2000 5000 800]
+       SymbolLine[0 1000 2000 1000 800]
+)
+Symbol['E' 1200]
+(
+       SymbolLine[0 3000 1500 3000 800]
+       SymbolLine[0 5000 2000 5000 800]
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 1000 2000 1000 800]
+)
+Symbol['F' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 1000 2000 1000 800]
+       SymbolLine[0 3000 1500 3000 800]
+)
+Symbol['G' 1200]
+(
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[500 1000 2000 1000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[0 1500 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 2000 5000 800]
+       SymbolLine[2000 5000 2500 4500 800]
+       SymbolLine[2500 3500 2500 4500 800]
+       SymbolLine[2000 3000 2500 3500 800]
+       SymbolLine[1000 3000 2000 3000 800]
+)
+Symbol['H' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[2500 1000 2500 5000 800]
+       SymbolLine[0 3000 2500 3000 800]
+)
+Symbol['I' 1200]
+(
+       SymbolLine[0 1000 1000 1000 800]
+       SymbolLine[500 1000 500 5000 800]
+       SymbolLine[0 5000 1000 5000 800]
+)
+Symbol['J' 1200]
+(
+       SymbolLine[0 1000 1500 1000 800]
+       SymbolLine[1500 1000 1500 4500 800]
+       SymbolLine[1000 5000 1500 4500 800]
+       SymbolLine[500 5000 1000 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['K' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 3000 2000 1000 800]
+       SymbolLine[0 3000 2000 5000 800]
+)
+Symbol['L' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 5000 2000 5000 800]
+)
+Symbol['M' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 1000 1500 2500 800]
+       SymbolLine[1500 2500 3000 1000 800]
+       SymbolLine[3000 1000 3000 5000 800]
+)
+Symbol['N' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 1000 0 1500 800]
+       SymbolLine[0 1500 2500 4000 800]
+       SymbolLine[2500 1000 2500 5000 800]
+)
+Symbol['O' 1200]
+(
+       SymbolLine[0 1500 0 4500 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[2000 1500 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['P' 1200]
+(
+       SymbolLine[500 1000 500 5000 800]
+       SymbolLine[0 1000 2000 1000 800]
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[2500 1500 2500 2500 800]
+       SymbolLine[2000 3000 2500 2500 800]
+       SymbolLine[500 3000 2000 3000 800]
+)
+Symbol['Q' 1200]
+(
+       SymbolLine[0 1500 0 4500 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1500 1000 800]
+       SymbolLine[1500 1000 2000 1500 800]
+       SymbolLine[2000 1500 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[1000 4000 2000 5000 800]
+)
+Symbol['R' 1200]
+(
+       SymbolLine[0 1000 2000 1000 800]
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[2500 1500 2500 2500 800]
+       SymbolLine[2000 3000 2500 2500 800]
+       SymbolLine[500 3000 2000 3000 800]
+       SymbolLine[500 1000 500 5000 800]
+       SymbolLine[500 3000 2500 5000 800]
+)
+Symbol['S' 1200]
+(
+       SymbolLine[2000 1000 2500 1500 800]
+       SymbolLine[500 1000 2000 1000 800]
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[0 1500 0 2500 800]
+       SymbolLine[0 2500 500 3000 800]
+       SymbolLine[500 3000 2000 3000 800]
+       SymbolLine[2000 3000 2500 3500 800]
+       SymbolLine[2500 3500 2500 4500 800]
+       SymbolLine[2000 5000 2500 4500 800]
+       SymbolLine[500 5000 2000 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['T' 1200]
+(
+       SymbolLine[0 1000 2000 1000 800]
+       SymbolLine[1000 1000 1000 5000 800]
+)
+Symbol['U' 1200]
+(
+       SymbolLine[0 1000 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[2000 1000 2000 4500 800]
+)
+Symbol['V' 1200]
+(
+       SymbolLine[0 1000 0 4000 800]
+       SymbolLine[0 4000 1000 5000 800]
+       SymbolLine[1000 5000 2000 4000 800]
+       SymbolLine[2000 1000 2000 4000 800]
+)
+Symbol['W' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 5000 1500 3500 800]
+       SymbolLine[1500 3500 3000 5000 800]
+       SymbolLine[3000 1000 3000 5000 800]
+)
+Symbol['X' 1200]
+(
+       SymbolLine[0 1000 0 1500 800]
+       SymbolLine[0 1500 2500 4000 800]
+       SymbolLine[2500 4000 2500 5000 800]
+       SymbolLine[0 4000 0 5000 800]
+       SymbolLine[0 4000 2500 1500 800]
+       SymbolLine[2500 1000 2500 1500 800]
+)
+Symbol['Y' 1200]
+(
+       SymbolLine[0 1000 0 1500 800]
+       SymbolLine[0 1500 1000 2500 800]
+       SymbolLine[1000 2500 2000 1500 800]
+       SymbolLine[2000 1000 2000 1500 800]
+       SymbolLine[1000 2500 1000 5000 800]
+)
+Symbol['Z' 1200]
+(
+       SymbolLine[0 1000 2500 1000 800]
+       SymbolLine[2500 1000 2500 1500 800]
+       SymbolLine[0 4000 2500 1500 800]
+       SymbolLine[0 4000 0 5000 800]
+       SymbolLine[0 5000 2500 5000 800]
+)
+Symbol['[' 1200]
+(
+       SymbolLine[0 1000 500 1000 800]
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 5000 500 5000 800]
+)
+Symbol['\' 1200]
+(
+       SymbolLine[0 1500 3000 4500 800]
+)
+Symbol[']' 1200]
+(
+       SymbolLine[0 1000 500 1000 800]
+       SymbolLine[500 1000 500 5000 800]
+       SymbolLine[0 5000 500 5000 800]
+)
+Symbol['^' 1200]
+(
+       SymbolLine[0 1500 500 1000 800]
+       SymbolLine[500 1000 1000 1500 800]
+)
+Symbol['_' 1200]
+(
+       SymbolLine[0 5000 2000 5000 800]
+)
+Symbol['`' 1200]
+(
+       SymbolLine[5000 0 6500 1500 800]
+       SymbolLine[6500 5000 5000 6500 800]
+       SymbolLine[5000 6500 1500 6500 800]
+       SymbolLine[1500 6500 0 5000 800]
+       SymbolLine[0 5000 0 1500 800]
+       SymbolLine[0 1500 1500 0 800]
+       SymbolLine[1500 0 5000 0 800]
+       SymbolLine[6500 1500 6500 5000 800]
+       SymbolLine[2500 2500 4000 2500 800]
+       SymbolLine[2000 3000 2500 2500 800]
+       SymbolLine[2000 3000 2000 4000 800]
+       SymbolLine[2000 4000 2500 4500 800]
+       SymbolLine[2500 4500 4000 4500 800]
+)
+Symbol['a' 1200]
+(
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[2000 3000 2000 4500 800]
+       SymbolLine[2000 4500 2500 5000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+)
+Symbol['b' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[2000 3500 2000 4500 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[0 3500 500 3000 800]
+)
+Symbol['c' 1200]
+(
+       SymbolLine[500 3000 2000 3000 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 2000 5000 800]
+)
+Symbol['d' 1200]
+(
+       SymbolLine[2000 1000 2000 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+)
+Symbol['e' 1200]
+(
+       SymbolLine[500 5000 2000 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[0 4000 2000 4000 800]
+       SymbolLine[2000 4000 2000 3500 800]
+)
+Symbol['f' 1000]
+(
+       SymbolLine[500 1500 500 5000 800]
+       SymbolLine[500 1500 1000 1000 800]
+       SymbolLine[1000 1000 1500 1000 800]
+       SymbolLine[0 3000 1000 3000 800]
+)
+Symbol['g' 1200]
+(
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[0 6000 500 6500 800]
+       SymbolLine[500 6500 1500 6500 800]
+       SymbolLine[1500 6500 2000 6000 800]
+       SymbolLine[2000 3000 2000 6000 800]
+)
+Symbol['h' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 2000 5000 800]
+)
+Symbol['i' 1000]
+(
+       SymbolLine[0 2000 0 2500 800]
+       SymbolLine[0 3500 0 5000 800]
+)
+Symbol['j' 1000]
+(
+       SymbolLine[500 2000 500 2500 800]
+       SymbolLine[500 3500 500 6000 800]
+       SymbolLine[0 6500 500 6000 800]
+)
+Symbol['k' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+       SymbolLine[0 3500 1500 5000 800]
+       SymbolLine[0 3500 1000 2500 800]
+)
+Symbol['l' 1000]
+(
+       SymbolLine[0 1000 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['m' 1200]
+(
+       SymbolLine[500 3500 500 5000 800]
+       SymbolLine[500 3500 1000 3000 800]
+       SymbolLine[1000 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 2000 5000 800]
+       SymbolLine[2000 3500 2500 3000 800]
+       SymbolLine[2500 3000 3000 3000 800]
+       SymbolLine[3000 3000 3500 3500 800]
+       SymbolLine[3500 3500 3500 5000 800]
+       SymbolLine[0 3000 500 3500 800]
+)
+Symbol['n' 1200]
+(
+       SymbolLine[500 3500 500 5000 800]
+       SymbolLine[500 3500 1000 3000 800]
+       SymbolLine[1000 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 2000 5000 800]
+       SymbolLine[0 3000 500 3500 800]
+)
+Symbol['o' 1200]
+(
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[2000 3500 2000 4500 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['p' 1200]
+(
+       SymbolLine[500 3500 500 6500 800]
+       SymbolLine[0 3000 500 3500 800]
+       SymbolLine[500 3500 1000 3000 800]
+       SymbolLine[1000 3000 2000 3000 800]
+       SymbolLine[2000 3000 2500 3500 800]
+       SymbolLine[2500 3500 2500 4500 800]
+       SymbolLine[2000 5000 2500 4500 800]
+       SymbolLine[1000 5000 2000 5000 800]
+       SymbolLine[500 4500 1000 5000 800]
+)
+Symbol['q' 1200]
+(
+       SymbolLine[2000 3500 2000 6500 800]
+       SymbolLine[1500 3000 2000 3500 800]
+       SymbolLine[500 3000 1500 3000 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[0 3500 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+)
+Symbol['r' 1200]
+(
+       SymbolLine[500 3500 500 5000 800]
+       SymbolLine[500 3500 1000 3000 800]
+       SymbolLine[1000 3000 2000 3000 800]
+       SymbolLine[0 3000 500 3500 800]
+)
+Symbol['s' 1200]
+(
+       SymbolLine[500 5000 2000 5000 800]
+       SymbolLine[2000 5000 2500 4500 800]
+       SymbolLine[2000 4000 2500 4500 800]
+       SymbolLine[500 4000 2000 4000 800]
+       SymbolLine[0 3500 500 4000 800]
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 2000 3000 800]
+       SymbolLine[2000 3000 2500 3500 800]
+       SymbolLine[0 4500 500 5000 800]
+)
+Symbol['t' 1000]
+(
+       SymbolLine[500 1000 500 4500 800]
+       SymbolLine[500 4500 1000 5000 800]
+       SymbolLine[0 2500 1000 2500 800]
+)
+Symbol['u' 1200]
+(
+       SymbolLine[0 3000 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+       SymbolLine[2000 3000 2000 4500 800]
+)
+Symbol['v' 1200]
+(
+       SymbolLine[0 3000 0 4000 800]
+       SymbolLine[0 4000 1000 5000 800]
+       SymbolLine[1000 5000 2000 4000 800]
+       SymbolLine[2000 3000 2000 4000 800]
+)
+Symbol['w' 1200]
+(
+       SymbolLine[0 3000 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[500 5000 1000 5000 800]
+       SymbolLine[1000 5000 1500 4500 800]
+       SymbolLine[1500 3000 1500 4500 800]
+       SymbolLine[1500 4500 2000 5000 800]
+       SymbolLine[2000 5000 2500 5000 800]
+       SymbolLine[2500 5000 3000 4500 800]
+       SymbolLine[3000 3000 3000 4500 800]
+)
+Symbol['x' 1200]
+(
+       SymbolLine[0 3000 2000 5000 800]
+       SymbolLine[0 5000 2000 3000 800]
+)
+Symbol['y' 1200]
+(
+       SymbolLine[0 3000 0 4500 800]
+       SymbolLine[0 4500 500 5000 800]
+       SymbolLine[2000 3000 2000 6000 800]
+       SymbolLine[1500 6500 2000 6000 800]
+       SymbolLine[500 6500 1500 6500 800]
+       SymbolLine[0 6000 500 6500 800]
+       SymbolLine[500 5000 1500 5000 800]
+       SymbolLine[1500 5000 2000 4500 800]
+)
+Symbol['z' 1200]
+(
+       SymbolLine[0 3000 2000 3000 800]
+       SymbolLine[0 5000 2000 3000 800]
+       SymbolLine[0 5000 2000 5000 800]
+)
+Symbol['{' 1200]
+(
+       SymbolLine[500 1500 1000 1000 800]
+       SymbolLine[500 1500 500 2500 800]
+       SymbolLine[0 3000 500 2500 800]
+       SymbolLine[0 3000 500 3500 800]
+       SymbolLine[500 3500 500 4500 800]
+       SymbolLine[500 4500 1000 5000 800]
+)
+Symbol['|' 1200]
+(
+       SymbolLine[0 1000 0 5000 800]
+)
+Symbol['}' 1200]
+(
+       SymbolLine[0 1000 500 1500 800]
+       SymbolLine[500 1500 500 2500 800]
+       SymbolLine[500 2500 1000 3000 800]
+       SymbolLine[500 3500 1000 3000 800]
+       SymbolLine[500 3500 500 4500 800]
+       SymbolLine[0 5000 500 4500 800]
+)
+Symbol['~' 1200]
+(
+       SymbolLine[0 3500 500 3000 800]
+       SymbolLine[500 3000 1000 3000 800]
+       SymbolLine[1000 3000 1500 3500 800]
+       SymbolLine[1500 3500 2000 3500 800]
+       SymbolLine[2000 3500 2500 3000 800]
+)
+Attribute("PCB::grid::unit" "mil")
+
+Element["hidename,onsolder" "0-338068-8" "J9" "Companion" 187500 7500 0 0 2 100 "auto"]
+(
+       Pin[0 10000 6299 1200 7299 3150 "1" "1" "square,edge2,thermal(0X)"]
+       Pin[-5000 0 6299 1200 7299 3150 "2" "2" "edge2"]
+       Pin[-10000 10000 6299 1200 7299 3150 "3" "3" "edge2"]
+       Pin[-15000 0 6299 1200 7299 3150 "4" "4" "edge2"]
+       Pin[-20000 10000 6299 1200 7299 3150 "5" "5" "edge2"]
+       Pin[-25000 0 6299 1200 7299 3150 "6" "6" "edge2"]
+       Pin[-30000 10000 6299 1200 7299 3150 "7" "7" "edge2"]
+       Pin[-35000 0 6299 1200 7299 3150 "8" "8" "edge2"]
+       Pin[5511 2913 7306 1400 7906 5906 "mnt" "0" "edge2"]
+       ElementLine [11593 -5038 11593 15039 600]
+       ElementLine [-46594 15039 11593 15039 600]
+       ElementLine [-46594 -5038 -46594 15039 600]
+       ElementLine [-46594 -5038 11593 -5038 600]
+
+       )
+
+Element["hidename,onsolder" "1-338068-6" "J20" "misc" 187500 107500 0 0 2 100 "auto"]
+(
+       Pin[0 10000 6299 1200 7299 3150 "1" "1" "square,edge2"]
+       Pin[-5000 0 6299 1200 7299 3150 "2" "2" "edge2"]
+       Pin[-10000 10000 6299 1200 7299 3150 "3" "3" "edge2"]
+       Pin[-15000 0 6299 1200 7299 3150 "4" "4" "edge2"]
+       Pin[-20000 10000 6299 1200 7299 3150 "5" "5" "edge2"]
+       Pin[-25000 0 6299 1200 7299 3150 "6" "6" "edge2,thermal(2X)"]
+       Pin[-30000 10000 6299 1200 7299 3150 "7" "7" "edge2"]
+       Pin[-35000 0 6299 1200 7299 3150 "8" "8" "edge2"]
+       Pin[-40000 10000 6299 1200 7299 3150 "9" "9" "edge2"]
+       Pin[-45000 0 6299 1200 7299 3150 "10" "10" "edge2"]
+       Pin[-50000 10000 6299 1200 7299 3150 "11" "11" "edge2"]
+       Pin[-55000 0 6299 1200 7299 3150 "12" "12" "edge2"]
+       Pin[-60000 10000 6299 1200 7299 3150 "13" "13" "edge2"]
+       Pin[-65000 0 6299 1200 7299 3150 "14" "14" "edge2"]
+       Pin[-70000 10000 6299 1200 7299 3150 "15" "15" "edge2"]
+       Pin[-75000 0 6299 1200 7299 3150 "16" "16" "edge2"]
+       Pin[5511 2913 7306 1400 7906 5906 "mnt" "0" "edge2"]
+       ElementLine [11593 -5038 11593 15039 600]
+       ElementLine [-86594 15039 11593 15039 600]
+       ElementLine [-86594 -5038 -86594 15039 600]
+       ElementLine [-86594 -5038 11593 -5038 600]
+
+       )
+
+Element["onsolder" "0-215079-4" "J1" "Debug" 184100 75100 0 0 1 100 "auto"]
+(
+       Pin[10000 0 6299 1200 7299 3150 "1" "1" "square"]
+       Pin[0 5000 6299 1200 7299 3150 "2" "2" ""]
+       Pin[10000 10000 6299 1200 7299 3150 "3" "3" ""]
+       Pin[0 15000 6299 1200 7299 3150 "4" "4" ""]
+       Pin[2913 -5511 7306 1400 7906 5906 "mnt" "0" ""]
+       ElementLine [-5038 -9428 15039 -9428 600]
+       ElementLine [15039 -9428 15039 24429 600]
+       ElementLine [-5038 24429 15039 24429 600]
+       ElementLine [-5038 -9428 -5038 24429 600]
+
+       )
+
+Element["onsolder" "ABM3B" "X1" "8mhz" 110677 46473 0 0 2 100 "auto"]
+(
+       Pad[-4724 -9054 -4724 -6692 4724 0 5324 "2" "2" "onsolder,square"]
+       Pad[-4724 6693 -4724 9055 4724 0 5324 "1" "1" "onsolder,square,edge2"]
+       Pad[4723 6693 4723 9055 4724 0 5324 "4" "4" "onsolder,square,edge2"]
+       Pad[4723 -9054 4723 -6692 4724 0 5324 "3" "3" "onsolder,square"]
+       ElementArc [-945 10000 500 500 180 360 1000]
+
+       )
+
+Element["onsolder" "lqfp48" "U2" "STM32L151" 148000 46200 0 0 1 100 "auto"]
+(
+       Pad[-18503 -10826 -14960 -10826 1181 787 1811 "PA2/USART2_TX/ADC_IN2/TIM2_CH3/TIM9_CH1" "12" "onsolder,square"]
+       Pad[14961 -10826 18504 -10826 1181 787 1811 "PB12/SPI2_NSS/I2C2_SMBA/USART3_CKI/ADC_IN18/TIM10_CH1" "25" "onsolder,square,edge2"]
+       Pad[-10826 14961 -10826 18504 1181 787 1811 "VDD3" "48" "onsolder,square,edge2"]
+       Pad[-10826 -18503 -10826 -14960 1181 787 1811 "PA3/USART2_RX/ADC_IN3/TIM2_CH4/TIM9_CH2" "13" "onsolder,square"]
+       Pad[-18503 -8857 -14960 -8857 1181 787 1811 "PA1/USART2_RTS/ADC_IN1/TIM2_CH2" "11" "onsolder,square"]
+       Pad[14961 -8857 18504 -8857 1181 787 1811 "PB13/SPI2_SCK/USART3_CTS/ADC_IN19/TIM9_CH1" "26" "onsolder,square,edge2"]
+       Pad[-8857 14961 -8857 18504 1181 787 1811 "VSS3" "47" "onsolder,square,edge2"]
+       Pad[-8857 -18503 -8857 -14960 1181 787 1811 "PA4/SPI1_NSS/USART2_CK/ADC_IN4/DAC_OUT1" "14" "onsolder,square"]
+       Pad[-18503 -6889 -14960 -6889 1181 787 1811 "PA0/WKUP1/USART2_CTS/ADC_IN0/TIM2_CH1_ETR" "10" "onsolder,square"]
+       Pad[14961 -6889 18504 -6889 1181 787 1811 "PB14/SPI2_MISO/USART3_RTS/ADC_IN20/TIM9_CH2" "27" "onsolder,square,edge2"]
+       Pad[-6889 14961 -6889 18504 1181 787 1811 "PB9/TIM4_CH4/I2C1_SDA/TIM11_CH1" "46" "onsolder,square,edge2"]
+       Pad[-6889 -18503 -6889 -14960 1181 787 1811 "PA5/SPI1_SCK/ADC_IN5/DAC_OUT2/TIM2_CH1_ETR" "15" "onsolder,square"]
+       Pad[-18503 -4920 -14960 -4920 1181 787 1811 "VDDA" "9" "onsolder,square"]
+       Pad[14961 -4920 18504 -4920 1181 787 1811 "PB15/SPI2_MOSI/ADC_IN21/TIM11_CH1/RTC_50_60HZ" "28" "onsolder,square,edge2"]
+       Pad[-4920 14961 -4920 18504 1181 787 1811 "PB8/TIM4_CH3/I2C1_SCL/TIM10_CH1" "45" "onsolder,square,edge2"]
+       Pad[-4920 -18503 -4920 -14960 1181 787 1811 "PA6/SPI1_MISO_ADC_IN6/TIM3_CH1/TIM10_CH1" "16" "onsolder,square"]
+       Pad[-18503 -2952 -14960 -2952 1181 787 1811 "VSSA" "8" "onsolder,square"]
+       Pad[14961 -2952 18504 -2952 1181 787 1811 "PA8/USART1_CK/MCO" "29" "onsolder,square,edge2"]
+       Pad[-2952 14961 -2952 18504 1181 787 1811 "BOOT0" "44" "onsolder,square,edge2"]
+       Pad[-2952 -18503 -2952 -14960 1181 787 1811 "PA7/SPI1_MOSI/ADC_IN7/TIM3_CH2/TIM11_CH1" "17" "onsolder,square"]
+       Pad[-18503 -983 -14960 -983 1181 787 1811 "NRST" "7" "onsolder,square"]
+       Pad[14961 -983 18504 -983 1181 787 1811 "PA9/USART1_TX" "30" "onsolder,square,edge2"]
+       Pad[-983 14961 -983 18504 1181 787 1811 "PB7/I2C1_SDA/TIM4_CH2/USART1_RX/PVD_IN" "43" "onsolder,square,edge2"]
+       Pad[-983 -18503 -983 -14960 1181 787 1811 "PB0/ADC_IN8/TIM3_CH3/VREF_OUT" "18" "onsolder,square"]
+       Pad[-18503 984 -14960 984 1181 787 1811 "PH1/OSC_OUT" "6" "onsolder,square"]
+       Pad[14961 984 18504 984 1181 787 1811 "PA10/USART1_RX" "31" "onsolder,square,edge2"]
+       Pad[984 14961 984 18504 1181 787 1811 "PB6/I2C1_SCL/TIM4_CH1/USART1_TX" "42" "onsolder,square,edge2"]
+       Pad[984 -18503 984 -14960 1181 787 1811 "PB1/ADC_IN9/TIM3_CH4/VREF_OUT" "19" "onsolder,square"]
+       Pad[-18503 2953 -14960 2953 1181 787 1811 "PH0/OSC_IN" "5" "onsolder,square"]
+       Pad[14961 2953 18504 2953 1181 787 1811 "PA11/USART1_CTS/USBDM/SPI1_MISO" "32" "onsolder,square,edge2"]
+       Pad[2953 14961 2953 18504 1181 787 1811 "PB5/I2C1_SMBA/TIM3_CH2/SPI1_MOSI" "41" "onsolder,square,edge2"]
+       Pad[2953 -18503 2953 -14960 1181 787 1811 "PB2/BOOT1" "20" "onsolder,square"]
+       Pad[-18503 4921 -14960 4921 1181 787 1811 "PC15/OSC32_OUT" "4" "onsolder,square"]
+       Pad[14961 4921 18504 4921 1181 787 1811 "PA12/USART1_RTS/USBDP/SPI1_MOSI" "33" "onsolder,square,edge2"]
+       Pad[4921 14961 4921 18504 1181 787 1811 "PB4/JNTRSTSPI1_MISO/TIM3_CH1" "40" "onsolder,square,edge2"]
+       Pad[4921 -18503 4921 -14960 1181 787 1811 "PB10/I2C2_SCL/USART3_TX/TIM2_CH3" "21" "onsolder,square"]
+       Pad[-18503 6890 -14960 6890 1181 787 1811 "PC14/OSC32_IN" "3" "onsolder,square"]
+       Pad[14961 6890 18504 6890 1181 787 1811 "PA13/JTMS/SWDIO" "34" "onsolder,square,edge2"]
+       Pad[6890 14961 6890 18504 1181 787 1811 "PB3/JTDO/TIM2_CH2/TRACESWO/SPI1_SCK" "39" "onsolder,square,edge2"]
+       Pad[6890 -18503 6890 -14960 1181 787 1811 "PB11/I2C2_SDA/USART3_RX/TIM2_CH4" "22" "onsolder,square"]
+       Pad[-18503 8858 -14960 8858 1181 787 1811 "PC13/RTC_AF1/WKUP2" "2" "onsolder,square"]
+       Pad[14961 8858 18504 8858 1181 787 1811 "VSS2" "35" "onsolder,square,edge2"]
+       Pad[8858 14961 8858 18504 1181 787 1811 "PA15/JTDI/TIM2_CH1_ETR/SPI1_NSS" "38" "onsolder,square,edge2"]
+       Pad[8858 -18503 8858 -14960 1181 787 1811 "VSS1" "23" "onsolder,square"]
+       Pad[-18503 10827 -14960 10827 1181 787 1811 "VLCD" "1" "onsolder,square"]
+       Pad[14961 10827 18504 10827 1181 787 1811 "VDD2" "36" "onsolder,square,edge2"]
+       Pad[10827 14961 10827 18504 1181 787 1811 "PA14/JTCK/SWCLK" "37" "onsolder,square,edge2"]
+       Pad[10827 -18503 10827 -14960 1181 787 1811 "VDD1" "24" "onsolder,square"]
+       ElementLine [-13779 -13779 13780 -13779 1000]
+       ElementLine [13780 -13779 13780 13780 1000]
+       ElementLine [-13779 13780 13780 13780 1000]
+       ElementLine [-13779 -13779 -13779 13780 1000]
+       ElementArc [-14960 14961 500 500 270 360 1000]
+
+       )
+
+Element["onsolder" "ADXL78" "U3" "ADXL78" 158256 86000 12011 10161 3 100 "auto"]
+(
+       Pad[4999 5412 4999 12499 3150 1850 3750 "pin1" "1" "onsolder,square,edge2"]
+       Pad[4999 -12500 4999 -5413 3150 1850 3750 "VDD" "7" "onsolder,square"]
+       Pad[0 5412 0 12499 3150 1850 3750 "pin2" "2" "onsolder,square,edge2"]
+       Pad[0 -12500 0 -5413 3150 1850 3750 "VOUT" "6" "onsolder,square"]
+       Pad[-5000 5412 -5000 12499 3150 1850 3750 "VSS" "3" "onsolder,square,edge2"]
+       Pad[-5000 -12500 -5000 -5413 3150 1850 3750 "pin5" "5" "onsolder,square"]
+       Pad[-12500 0 -5413 0 3150 1850 3750 "ST" "4" "onsolder,square"]
+       Pad[5412 0 12499 0 3150 1850 3750 "VDD2" "8" "onsolder,square,edge2"]
+       ElementLine [-9843 9842 9842 9842 1000]
+       ElementLine [-9843 -9843 -9843 9842 1000]
+       ElementLine [-9843 -9843 9842 -9843 1000]
+       ElementLine [9842 -9843 9842 9842 1000]
+       ElementArc [8857 11810 500 500 90 360 1000]
+
+       )
+
+Element["onsolder" "0402" "C6" "0.1uF" 51700 44900 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "SO8" "U4" "LTC1682-5" 121900 87300 -2000 6000 0 100 "auto"]
+(
+       Pad[-13500 7500 -7000 7500 2000 1000 3000 "OUT" "1" "onsolder,square"]
+       Pad[-13500 2500 -7000 2500 2000 1000 3000 "SHDN" "2" "onsolder,square"]
+       Pad[-13500 -2500 -7000 -2500 2000 1000 3000 "FILT" "3" "onsolder,square"]
+       Pad[-13500 -7500 -7000 -7500 2000 1000 3000 "GND" "4" "onsolder,square"]
+       Pad[7000 -7500 13500 -7500 2000 1000 3000 "C-" "5" "onsolder,square,edge2"]
+       Pad[7000 -2500 13500 -2500 2000 1000 3000 "IN" "6" "onsolder,square,edge2"]
+       Pad[7000 2500 13500 2500 2000 1000 3000 "C+" "7" "onsolder,square,edge2"]
+       Pad[7000 7500 13500 7500 2000 1000 3000 "CPO" "8" "onsolder,square,edge2"]
+       ElementLine [-15500 9500 -15500 -9500 1000]
+       ElementLine [-15500 -9500 15500 -9500 1000]
+       ElementLine [15500 -9500 15500 9500 1000]
+       ElementLine [-15500 9500 -2500 9500 1000]
+       ElementLine [15500 9500 2500 9500 1000]
+       ElementArc [0 9500 2500 2500 180 180 1000]
+
+       )
+
+Element["onsolder" "ZX62-B-5PA" "J5" "USBmicroB" 182211 44523 -12011 -10161 1 100 "auto"]
+(
+       Pad[787 0 4528 0 1575 984 2362 "DATA+" "3" "onsolder,square"]
+       Pad[787 -2558 4528 -2558 1575 984 2362 "DATA-" "2" "onsolder,square"]
+       Pad[787 2559 4528 2559 1575 984 2362 "ID" "4" "onsolder,square"]
+       Pad[787 -5117 4528 -5117 1575 984 2362 "VBUS" "1" "onsolder,square"]
+       Pad[787 5118 4528 5118 1575 984 2362 "GND" "5" "onsolder,square"]
+       Pad[3150 11220 3150 13189 6299 984 7087 "tab1" "G" "onsolder,square,edge2"]
+       Pad[3150 -13188 3150 -11219 6299 984 7087 "tab2" "G" "onsolder,square"]
+       Pad[13189 4724 13189 4724 7480 984 8268 "tab3" "G" "onsolder,square,edge2"]
+       Pad[13189 -4723 13189 -4723 7480 984 8268 "tab4" "G" "onsolder,square,edge2"]
+       Pad[12992 15748 13386 15748 7087 984 7874 "tab5" "G" "onsolder,square,edge2"]
+       Pad[12992 -15747 13386 -15747 7087 984 7874 "tab6" "G" "onsolder,square,edge2"]
+
+       )
+
+Element["onsolder" "0605" "D2" "dualLED" 114373 7226 -1116 7278 3 100 "auto"]
+(
+       Pad[-2067 -1280 -1280 -1280 2559 -1771 3159 "2" "2" "onsolder,square,edge2"]
+       Pad[-2067 -4626 -1280 -4626 2559 -1771 3159 "1" "1" "onsolder,square,edge2"]
+       Pad[-7776 -1280 -6988 -1280 2559 -1771 3159 "4" "4" "onsolder,square"]
+       Pad[-7776 -4626 -6988 -4626 2559 -1771 3159 "3" "3" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C14" "4.7uF" 28100 18800 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "R53" "270" 120026 2400 4624 3461 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "R52" "270" 120026 7300 4324 3861 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C5" "0.1uF" 64652 38689 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C3" "0.1uF" 19726 55100 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C2" "1uF" 36926 55100 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "R103" "22" 14674 68500 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "R102" "22" 31774 68500 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "R401" "10k" 85026 106300 -5350 7350 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "L600" "bead" 68626 70600 -5503 -850 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "C602" "0.1uF" 74852 70600 4029 2540 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "C601" "1uF" 74900 74400 4002 3850 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "C610" "0.1uF" 39000 77374 7250 5350 3 100 "auto"]
+(
+       Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "R26" "10k" 98026 97500 3472 3150 0 100 "auto"]
+(
+       Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "R25" "5.6k" 89600 97500 -4850 -950 0 100 "auto"]
+(
+       Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "C33" "22pF" 95600 24826 7150 -750 3 100 "auto"]
+(
+       Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "C32" "22pF" 53653 77753 -1050 -150 3 100 "auto"]
+(
+       Pad[-393 -1574 393 -1574 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[-393 1574 393 1574 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C7" "4.7uF" 43200 90700 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C13" "0.1uF" 60300 90700 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["hidename,onsolder" "0402" "R402" "10k" 53100 15700 -6950 7450 0 100 "auto"]
+(
+       Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C16" "4.7uF" 38100 9900 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C15" "0.22uF" 55200 9900 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C8" "0.001uF" 72300 9900 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "C4" "0.1uF" 32652 29789 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "R11" "10k" 49752 29789 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+
+Element["onsolder" "0402" "R10" "5k" 66952 29789 -3150 3150 0 100 "auto"]
+(
+       Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "onsolder,square"]
+       Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "onsolder,square"]
+
+       )
+Rat[38500 54707 1 21300 54707 1  ""]
+Rat[38500 54707 1 34226 29396 1  ""]
+Rat[38500 54707 1 66226 38296 1  ""]
+Rat[66226 38296 1 67052 70207 1  ""]
+Rat[67052 70207 1 137174 64704 1  ""]
+Rat[137174 64704 1 166504 57027 1  ""]
+Rat[166504 57027 1 158827 27697 1  ""]
+Rat[158827 27697 1 157500 17500 1  ""]
+Rat[137174 64704 1 162500 107500 1  ""]
+Rat[53274 44507 1 44774 90307 1  ""]
+Rat[44774 90307 1 88026 97107 1  ""]
+Rat[88026 97107 1 108400 94800 1  ""]
+Rat[108400 94800 1 163255 73500 1  ""]
+Rat[163255 73500 1 170755 86000 1  ""]
+Rat[61874 90307 1 65378 29396 1  ""]
+Rat[65378 29396 1 51326 29396 1  ""]
+Rat[65378 29396 1 129497 39311 1  ""]
+Rat[91174 97107 1 96452 97107 1  ""]
+Rat[96452 97107 1 129497 37343 1  ""]
+Rat[54674 15307 1 145048 64704 1  ""]
+Rat[182500 7500 1 166504 37343 1  ""]
+Rat[35352 54707 1 18152 54707 1  ""]
+Rat[35352 54707 1 50126 44507 1  ""]
+Rat[50126 44507 1 63078 38296 1  ""]
+Rat[50126 44507 1 48178 29396 1  ""]
+Rat[48178 29396 1 51526 15307 1  ""]
+Rat[51526 15307 1 36526 9507 1  ""]
+Rat[36526 9507 1 26526 18407 1  ""]
+Rat[26526 18407 1 31078 29396 1  ""]
+Rat[51526 15307 1 70726 9507 1  ""]
+Rat[35352 54707 1 38607 78948 1  ""]
+Rat[38607 78948 1 41626 90307 1  ""]
+Rat[38607 78948 1 53260 79327 1  ""]
+Rat[53260 79327 1 58726 90307 1  ""]
+Rat[53260 79327 1 76474 74007 1  ""]
+Rat[76474 74007 1 76426 70207 1  ""]
+Rat[76474 74007 1 95207 23252 1  ""]
+Rat[95207 23252 1 99600 97107 1  ""]
+Rat[99600 97107 1 86600 105907 1  ""]
+Rat[99600 97107 1 108400 79800 1  ""]
+Rat[108400 79800 1 115400 55528 1  ""]
+Rat[115400 55528 1 129497 43248 1  ""]
+Rat[115400 55528 1 105953 37419 1  ""]
+Rat[115400 55528 1 139143 64704 1  ""]
+Rat[139143 64704 1 145756 86000 1  ""]
+Rat[145756 86000 1 153256 98499 1  ""]
+Rat[153256 98499 1 157500 117500 1  ""]
+Rat[139143 64704 1 166504 55058 1  ""]
+Rat[166504 55058 1 182998 49641 1  ""]
+Rat[182998 49641 1 194100 75100 1  ""]
+Rat[166504 55058 1 156858 27697 1  ""]
+Rat[156858 27697 1 187500 17500 1  ""]
+Rat[157500 117500 1 187500 117500 1  ""]
+Rat[105953 37419 1 106597 5946 1  ""]
+Rat[106597 5946 1 106597 2600 1  ""]
+Rat[121600 2007 1 129497 51121 1  ""]
+Rat[121600 6907 1 129497 53090 1  ""]
+Rat[172500 7500 1 166504 39311 1  ""]
+Rat[177500 17500 1 166504 41280 1  ""]
+Rat[38607 75800 1 129497 45217 1  ""]
+Rat[129497 45217 1 184100 80100 1  ""]
+Rat[184100 80100 1 182500 107500 1  ""]
+Rat[184100 90100 1 158827 64704 1  ""]
+Rat[194100 85100 1 166504 53090 1  ""]
+Rat[68526 29396 1 158256 73500 1  ""]
+Rat[73874 9507 1 108400 84800 1  ""]
+Rat[53626 9507 1 135400 79800 1  ""]
+Rat[56774 9507 1 135400 89800 1  ""]
+Rat[29674 18407 1 135400 94800 1  ""]
+Rat[95207 26400 1 115400 37419 1  ""]
+Rat[115400 37419 1 129497 47184 1  ""]
+Rat[53260 76179 1 105953 55528 1  ""]
+Rat[105953 55528 1 129497 49153 1  ""]
+Rat[83452 105907 1 150953 27697 1  ""]
+Rat[182998 41965 1 30200 68107 1  ""]
+Rat[182998 44523 1 13100 68107 1  ""]
+Rat[113094 5946 1 118452 6907 1  ""]
+Rat[113094 2600 1 118452 2007 1  ""]
+Rat[33348 68107 1 166504 49153 1  ""]
+Rat[16248 68107 1 166504 51121 1  ""]
+Rat[39674 9507 1 108400 89800 1  ""]
+Rat[108400 89800 1 135400 84800 1  ""]
+Rat[135400 84800 1 152500 7500 1  ""]
+Rat[73326 74007 1 73278 70207 1  ""]
+Rat[73278 70207 1 70200 70207 1  ""]
+Rat[73278 70207 1 129497 57027 1  ""]
+Rat[129497 57027 1 129497 41280 1  ""]
+Layer(1 "top")
+(
+)
+Layer(2 "bottom")
+(
+)
+Layer(3 "outline")
+(
+       Attribute("PCB::skip-drc" "1")
+       Line[0 0 89000 0 1000 2000 "lock"]
+       Line[89000 0 89000 2600 1000 2000 "lock"]
+       Line[89000 2600 139000 2600 1000 2000 "lock"]
+       Line[139000 2600 139000 0 1000 2000 "lock"]
+       Line[139000 0 325000 0 1000 2000 "lock"]
+       Line[325000 0 325000 125000 1000 2000 "lock"]
+       Line[325000 125000 0 125000 1000 2000 "lock"]
+       Line[0 125000 0 0 1000 2000 "lock"]
+)
+Layer(4 "silk")
+(
+       Line[199100 124900 199100 100 1000 2000 "clearline"]
+       Line[100800 124900 100800 0 1000 2000 "clearline"]
+       Text[8727 99452 3 100 "` 2012 Bdale Garbee KB0G" "onsolder"]
+)
+Layer(5 "silk")
+(
+)
+NetList()
+(
+       Net("+3.3V" "(unknown)")
+       (
+               Connect("C2-2")
+               Connect("C3-2")
+               Connect("C4-2")
+               Connect("C5-2")
+               Connect("J9-7")
+               Connect("J20-6")
+               Connect("L600-1")
+               Connect("U2-24")
+               Connect("U2-36")
+               Connect("U2-48")
+       )
+       Net("+5V" "(unknown)")
+       (
+               Connect("C6-2")
+               Connect("C7-2")
+               Connect("R25-2")
+               Connect("U3-7")
+               Connect("U3-8")
+               Connect("U4-1")
+       )
+       Net("accel" "(unknown)")
+       (
+               Connect("C13-2")
+               Connect("R10-1")
+               Connect("R11-2")
+               Connect("U2-10")
+       )
+       Net("accel_ref" "(unknown)")
+       (
+               Connect("R25-1")
+               Connect("R26-2")
+               Connect("U2-11")
+       )
+       Net("boot0" "(unknown)")
+       (
+               Connect("R402-1")
+               Connect("U2-44")
+       )
+       Net("c2" "(unknown)")
+       (
+               Connect("J9-2")
+               Connect("U2-26")
+       )
+       Net("cs_companion0" "(unknown)")
+       (
+               Connect("J9-6")
+       )
+       Net("cs_companion1" "(unknown)")
+       (
+               Connect("J9-5")
+       )
+       Net("GND" "(unknown)")
+       (
+               Connect("C2-1")
+               Connect("C3-1")
+               Connect("C4-1")
+               Connect("C5-1")
+               Connect("C6-1")
+               Connect("C7-1")
+               Connect("C8-1")
+               Connect("C13-1")
+               Connect("C14-1")
+               Connect("C16-1")
+               Connect("C32-2")
+               Connect("C33-2")
+               Connect("C601-2")
+               Connect("C602-2")
+               Connect("C610-1")
+               Connect("D2-3")
+               Connect("D2-4")
+               Connect("J1-1")
+               Connect("J5-5")
+               Connect("J9-1")
+               Connect("J20-1")
+               Connect("J20-7")
+               Connect("R11-1")
+               Connect("R26-1")
+               Connect("R401-2")
+               Connect("R402-2")
+               Connect("U2-8")
+               Connect("U2-23")
+               Connect("U2-35")
+               Connect("U2-47")
+               Connect("U3-3")
+               Connect("U3-4")
+               Connect("U4-4")
+               Connect("X1-2")
+               Connect("X1-4")
+       )
+       Net("led_green" "(unknown)")
+       (
+               Connect("R53-2")
+               Connect("U2-4")
+       )
+       Net("led_red" "(unknown)")
+       (
+               Connect("R52-2")
+               Connect("U2-3")
+       )
+       Net("mi2" "(unknown)")
+       (
+               Connect("J9-4")
+               Connect("U2-27")
+       )
+       Net("mo2" "(unknown)")
+       (
+               Connect("J9-3")
+               Connect("U2-28")
+       )
+       Net("reset_n" "(unknown)")
+       (
+               Connect("C610-2")
+               Connect("J1-2")
+               Connect("J20-2")
+               Connect("U2-7")
+       )
+       Net("scl1" "(unknown)")
+       (
+               Connect("U2-45")
+       )
+       Net("scl2" "(unknown)")
+       (
+               Connect("U2-21")
+       )
+       Net("sda1" "(unknown)")
+       (
+               Connect("U2-46")
+       )
+       Net("sda2" "(unknown)")
+       (
+               Connect("U2-22")
+       )
+       Net("swclk" "(unknown)")
+       (
+               Connect("J1-4")
+               Connect("U2-37")
+       )
+       Net("swdio" "(unknown)")
+       (
+               Connect("J1-3")
+               Connect("U2-34")
+       )
+       Net("unnamed_net1" "(unknown)")
+       (
+               Connect("R10-2")
+               Connect("U3-6")
+       )
+       Net("unnamed_net2" "(unknown)")
+       (
+               Connect("C8-2")
+               Connect("U4-3")
+       )
+       Net("unnamed_net3" "(unknown)")
+       (
+               Connect("C15-1")
+               Connect("U4-5")
+       )
+       Net("unnamed_net4" "(unknown)")
+       (
+               Connect("C15-2")
+               Connect("U4-7")
+       )
+       Net("unnamed_net5" "(unknown)")
+       (
+               Connect("C14-2")
+               Connect("U4-8")
+       )
+       Net("unnamed_net6" "(unknown)")
+       (
+               Connect("C33-1")
+               Connect("U2-6")
+               Connect("X1-3")
+       )
+       Net("unnamed_net7" "(unknown)")
+       (
+               Connect("C32-1")
+               Connect("U2-5")
+               Connect("X1-1")
+       )
+       Net("unnamed_net8" "(unknown)")
+       (
+               Connect("R401-1")
+               Connect("U2-20")
+       )
+       Net("unnamed_net9" "(unknown)")
+       (
+               Connect("J5-2")
+               Connect("R102-1")
+       )
+       Net("unnamed_net10" "(unknown)")
+       (
+               Connect("J5-3")
+               Connect("R103-1")
+       )
+       Net("unnamed_net11" "(unknown)")
+       (
+               Connect("D2-2")
+               Connect("R52-1")
+       )
+       Net("unnamed_net12" "(unknown)")
+       (
+               Connect("D2-1")
+               Connect("R53-1")
+       )
+       Net("usbdm" "(unknown)")
+       (
+               Connect("R102-2")
+               Connect("U2-32")
+       )
+       Net("usbdp" "(unknown)")
+       (
+               Connect("R103-2")
+               Connect("U2-33")
+       )
+       Net("v_lipo" "(unknown)")
+       (
+               Connect("C16-2")
+               Connect("J9-8")
+               Connect("U4-2")
+               Connect("U4-6")
+       )
+       Net("v_usb" "(unknown)")
+       (
+               Connect("J5-1")
+       )
+       Net("vdda" "(unknown)")
+       (
+               Connect("C601-1")
+               Connect("C602-1")
+               Connect("L600-2")
+               Connect("U2-1")
+               Connect("U2-9")
+       )
+)
diff --git a/megadaughter.sch b/megadaughter.sch
new file mode 100644 (file)
index 0000000..a725579
--- /dev/null
@@ -0,0 +1,985 @@
+v 20110115 2
+C 40000 40000 0 0 0 title-E-bdale.sym
+T 40000 40000 8 10 1 1 0 0 1
+value=270
+T 40000 40000 8 10 1 1 0 0 1
+netname=3.3V
+T 76700 41800 9 30 1 0 0 0 1
+MegaMetrum Accel Daughter
+T 13300 -8600 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T 13300 -8600 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T 82400 40400 9 10 1 0 0 0 1
+0.1
+T 80000 40400 9 10 1 0 0 0 1
+1
+T 80600 40400 9 10 1 0 0 0 1
+1
+T 77700 40400 9 10 1 0 0 0 1
+megadaughter.sch
+T 77700 40100 9 10 1 0 0 0 1
+http://altusmetrum.com/
+C 78200 63900 1 0 0 gnd.sym
+C 81100 64100 1 90 0 capacitor.sym
+{
+T 80400 64300 5 10 0 0 90 0 1
+device=CAPACITOR
+T 81300 64800 5 10 1 1 180 0 1
+refdes=C13
+T 80200 64300 5 10 0 0 90 0 1
+symversion=0.1
+T 81000 64300 5 10 1 1 0 0 1
+value=0.1uF
+T 81100 64100 5 10 0 1 0 0 1
+vendor_part_number=399-3027-1-ND
+T 81100 64100 5 10 0 0 0 0 1
+footprint=0402
+T 81100 64100 5 10 0 0 0 0 1
+vendor=digikey
+T 81100 64100 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 80800 63800 1 0 0 gnd.sym
+N 79100 65900 79800 65900 4
+T 13300 -8600 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T 13300 -8600 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+C 73900 64100 1 90 0 capacitor.sym
+{
+T 73200 64300 5 10 0 0 90 0 1
+device=CAPACITOR
+T 74400 64900 5 10 1 1 180 0 1
+refdes=C7
+T 73000 64300 5 10 0 0 90 0 1
+symversion=0.1
+T 73900 64095 5 10 0 1 90 0 1
+footprint=0402
+T 73900 64200 5 10 1 1 0 0 1
+value=4.7uF
+T 73900 64100 5 10 0 1 0 0 1
+vendor_part_number=490-5408-1-ND
+T 73900 64100 5 10 0 0 0 0 1
+vendor=digikey
+T 73900 64100 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 73700 65900 73700 65000 4
+C 73500 65900 1 0 0 5V-plus.sym
+C 79900 65000 1 90 0 resistor.sym
+{
+T 79500 65300 5 10 0 0 90 0 1
+device=RESISTOR
+T 80500 65700 5 10 1 1 180 0 1
+refdes=R10
+T 79900 64995 5 10 0 1 90 0 1
+footprint=0402
+T 80000 65200 5 10 1 1 0 0 1
+value=5k
+T 79900 65000 5 10 0 1 0 0 1
+vendor_part_number=311-5.10KLRCT-ND
+T 79900 65000 5 10 0 0 0 0 1
+vendor=digikey
+T 79900 65000 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 79900 64100 1 90 0 resistor.sym
+{
+T 79500 64400 5 10 0 0 90 0 1
+device=RESISTOR
+T 80500 64800 5 10 1 1 180 0 1
+refdes=R11
+T 79900 64095 5 10 0 1 90 0 1
+footprint=0402
+T 80000 64300 5 10 1 1 0 0 1
+value=10k
+T 79900 64100 5 10 0 1 0 0 1
+vendor_part_number=RMCF1/16S10K1%RCT-ND
+T 79900 64100 5 10 0 0 0 0 1
+vendor=digikey
+T 79900 64100 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 79800 65000 82000 65000 4
+{
+T 81500 65100 5 10 1 1 0 0 1
+netname=accel
+}
+C 79700 63800 1 0 0 gnd.sym
+C 71900 64100 1 90 0 capacitor.sym
+{
+T 71200 64300 5 10 0 0 90 0 1
+device=CAPACITOR
+T 72400 64900 5 10 1 1 180 0 1
+refdes=C8
+T 71000 64300 5 10 0 0 90 0 1
+symversion=0.1
+T 71900 64095 5 10 0 1 90 0 1
+footprint=0402
+T 71900 64200 5 10 1 1 0 0 1
+value=0.001uF
+T 71900 64100 5 10 0 1 0 0 1
+vendor_part_number=445-2651-1-ND
+T 71900 64100 5 10 0 0 0 0 1
+vendor=digikey
+T 71900 64100 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 69700 67000 1 0 0 capacitor.sym
+{
+T 69900 67700 5 10 0 0 0 0 1
+device=CAPACITOR
+T 69500 67400 5 10 1 1 0 0 1
+refdes=C15
+T 69900 67900 5 10 0 0 0 0 1
+symversion=0.1
+T 69695 67000 5 10 0 1 0 0 1
+footprint=0402
+T 70300 67400 5 10 1 1 0 0 1
+value=0.22uF
+T 69700 67000 5 10 0 1 0 0 1
+vendor_part_number=587-1228-1-ND
+T 69700 67000 5 10 0 0 0 0 1
+vendor=digikey
+T 69700 67000 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 68600 64100 1 90 0 capacitor.sym
+{
+T 67900 64300 5 10 0 0 90 0 1
+device=CAPACITOR
+T 68200 64900 5 10 1 1 180 0 1
+refdes=C16
+T 67700 64300 5 10 0 0 90 0 1
+symversion=0.1
+T 68600 64095 5 10 0 1 90 0 1
+footprint=0402
+T 67700 64200 5 10 1 1 0 0 1
+value=4.7uF
+T 68600 64100 5 10 0 1 0 0 1
+vendor_part_number=490-5408-1-ND
+T 68600 64100 5 10 0 0 0 0 1
+vendor=digikey
+T 68600 64100 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 71600 65900 76800 65900 4
+N 69600 66800 69600 67200 4
+N 70800 66800 70800 67200 4
+C 69900 63600 1 0 0 gnd.sym
+N 70000 63900 70000 64600 4
+N 68800 65500 68400 65500 4
+N 68400 65000 68400 65900 4
+T 79700 66400 9 10 1 0 0 0 2
+Accelerometer runs on 5V,
+divider to match ADC range.
+C 68800 64600 1 0 0 LTC1682-5.sym
+{
+T 69195 66595 5 10 1 1 0 0 1
+refdes=U4
+T 69595 65795 5 10 0 1 0 0 1
+device=IC
+T 68795 64595 5 10 0 1 0 0 1
+footprint=SO8
+T 68800 64600 5 10 0 1 0 0 1
+vendor_part_number=LTC1682CS8-5#PBF-ND
+T 68800 64600 5 10 0 0 0 0 1
+vendor=digikey
+T 69600 66000 5 10 1 1 0 0 1
+value=LTC1682-5
+T 68800 64600 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 69600 67200 69700 67200 4
+N 70600 67200 70800 67200 4
+N 71700 64100 71700 64000 4
+N 71600 65100 71700 65100 4
+N 71700 65100 71700 65000 4
+C 72900 64100 1 90 0 capacitor.sym
+{
+T 72200 64300 5 10 0 0 90 0 1
+device=CAPACITOR
+T 73400 64900 5 10 1 1 180 0 1
+refdes=C14
+T 72000 64300 5 10 0 0 90 0 1
+symversion=0.1
+T 72900 64095 5 10 0 1 90 0 1
+footprint=0402
+T 72900 64200 5 10 1 1 0 0 1
+value=4.7uF
+T 72900 64100 5 10 0 1 0 0 1
+vendor_part_number=490-5408-1-ND
+T 72900 64100 5 10 0 0 0 0 1
+vendor=digikey
+T 72900 64100 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 71600 65500 72700 65500 4
+N 72700 65500 72700 65000 4
+N 72700 64000 72700 64100 4
+N 70000 64000 73700 64000 4
+N 73700 64000 73700 64100 4
+N 68400 64100 68400 64000 4
+N 68400 64000 70000 64000 4
+C 75500 64500 1 90 0 capacitor.sym
+{
+T 74800 64700 5 10 0 0 90 0 1
+device=CAPACITOR
+T 75100 65200 5 10 1 1 180 0 1
+refdes=C6
+T 74600 64700 5 10 0 0 90 0 1
+symversion=0.1
+T 74700 64600 5 10 1 1 0 0 1
+value=0.1uF
+T 75500 64500 5 10 0 0 0 0 1
+footprint=0402
+T 75500 64500 5 10 0 0 0 0 1
+vendor_part_number=399-3027-1-ND
+T 75500 64500 5 10 0 0 0 0 1
+vendor=digikey
+T 75500 64500 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 75300 65400 75300 65900 4
+C 75200 64200 1 0 0 gnd.sym
+N 67500 65900 68800 65900 4
+{
+T 67500 66000 5 10 1 1 0 0 1
+netname=v_lipo
+}
+N 76800 64700 76500 64700 4
+N 76500 64700 76500 64200 4
+N 76500 64200 78300 64200 4
+C 79900 62300 1 90 0 resistor.sym
+{
+T 79500 62600 5 10 0 0 90 0 1
+device=RESISTOR
+T 80500 63000 5 10 1 1 180 0 1
+refdes=R25
+T 79900 62295 5 10 0 1 90 0 1
+footprint=0402
+T 80000 62500 5 10 1 1 0 0 1
+value=5.6k
+T 79900 62300 5 10 0 1 0 0 1
+vendor_part_number=P5.60KLCT-ND
+T 79900 62300 5 10 0 0 0 0 1
+vendor=digikey
+T 79900 62300 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 79900 61400 1 90 0 resistor.sym
+{
+T 79500 61700 5 10 0 0 90 0 1
+device=RESISTOR
+T 80500 62100 5 10 1 1 180 0 1
+refdes=R26
+T 79900 61395 5 10 0 1 90 0 1
+footprint=0402
+T 80000 61600 5 10 1 1 0 0 1
+value=10k
+T 79900 61400 5 10 0 1 0 0 1
+vendor_part_number=RMCF1/16S10K1%RCT-ND
+T 79900 61400 5 10 0 0 0 0 1
+vendor=digikey
+T 79900 61400 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 79800 62300 81400 62300 4
+{
+T 80600 62400 5 10 1 1 0 0 1
+netname=accel_ref
+}
+C 79700 61100 1 0 0 gnd.sym
+N 76000 65900 76000 63200 4
+N 76000 63200 79800 63200 4
+C 76800 64200 1 0 0 ADXL78.sym
+{
+T 77595 66195 5 10 0 1 0 0 1
+device=IC
+T 77095 66495 5 10 1 1 0 0 1
+refdes=U3
+T 76795 64195 5 10 0 1 0 0 1
+footprint=ADXL78
+T 76800 64200 5 10 0 0 0 0 1
+loadstatus=smt
+T 76800 64200 5 10 0 0 0 0 1
+vendor=digikey
+T 76800 64200 5 10 0 1 0 0 1
+vendor_part_number=AD22281-R2CT-ND
+T 78100 66500 5 10 1 1 0 0 1
+value=ADXL78
+}
+N 76800 65500 76500 65500 4
+N 76500 65500 76500 65900 4
+T -36300 -60600 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -36300 -60600 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T -36300 -60600 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -36300 -60600 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+C 76900 55100 1 0 0 gnd.sym
+N 75600 53400 77300 53400 4
+{
+T 75600 53500 5 10 1 1 0 0 1
+netname=cs_companion0
+}
+N 77300 55000 75600 55000 4
+{
+T 75600 55100 5 10 1 1 0 0 1
+netname=c2
+}
+N 75600 54600 77300 54600 4
+{
+T 75600 54700 5 10 1 1 0 0 1
+netname=mo2
+}
+N 77300 54200 75600 54200 4
+{
+T 75600 54300 5 10 1 1 0 0 1
+netname=mi2
+}
+N 77300 52600 75600 52600 4
+{
+T 75600 52700 5 10 1 1 0 0 1
+netname=v_lipo
+}
+N 77000 55400 77300 55400 4
+C 74700 53200 1 0 0 3.3V-plus.sym
+N 77300 53000 74900 53000 4
+N 74900 53000 74900 53200 4
+C 71600 56500 1 0 0 gnd.sym
+N 71700 56400 72800 56400 4
+{
+T 72200 56500 5 10 1 1 0 0 1
+netname=reset_n
+}
+N 77300 53800 75600 53800 4
+{
+T 75600 53900 5 10 1 1 0 0 1
+netname=cs_companion1
+}
+C 77300 52500 1 0 0 conn-8.sym
+{
+T 77655 55595 5 10 1 1 0 0 1
+refdes=J9
+T 77200 52300 5 10 1 1 0 0 1
+value=Companion
+T 77300 52500 5 10 0 0 0 0 1
+loadstatus=throughhole
+T 77300 52500 5 10 0 0 0 0 1
+vendor=digikey
+T 77300 52500 5 10 0 0 0 0 1
+vendor_part_number=A99436CT-ND
+T 77300 52500 5 10 0 0 0 0 1
+footprint=0-338068-8
+T 77300 52500 5 10 0 1 0 0 1
+device=CONNECTOR
+}
+T 70800 55500 9 10 1 0 90 0 2
+bootloader
+  support
+L 70900 55000 70400 55000 3 0 0 0 -1 -1
+C 71900 54100 1 0 0 gnd.sym
+L 70900 52200 70400 52200 3 0 0 0 -1 -1
+T 70700 53200 9 10 1 0 90 0 1
+JTAG
+C 71800 54800 1 0 0 3.3V-plus.sym
+N 72000 54800 71700 54800 4
+N 72000 54400 71700 54400 4
+C 71700 50700 1 0 1 conn-16.sym
+{
+T 71345 56995 5 10 1 1 0 6 1
+refdes=J20
+T 71000 50500 5 10 1 1 0 0 1
+value=misc
+T 71700 50700 5 10 0 1 0 0 1
+device=CONNECTOR
+T 71700 50700 5 10 0 1 0 0 1
+footprint=1-338068-6
+T 71700 50700 5 10 0 1 0 0 1
+loadstatus=throughhole
+T 71700 50700 5 10 0 1 0 0 1
+vendor=digikey
+T 71700 50700 5 10 0 1 0 0 1
+vendor_part_number=A99501CT-ND
+}
+T 70700 51700 9 10 1 0 90 0 1
+i2c
+T 70700 50800 9 10 1 0 90 0 1
+gpio
+L 70900 51400 70400 51400 3 0 0 0 -1 -1
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+C 62500 50400 1 90 0 capacitor.sym
+{
+T 61800 50600 5 10 0 0 90 0 1
+device=CAPACITOR
+T 62700 51200 5 10 1 1 180 0 1
+refdes=C2
+T 61600 50600 5 10 0 0 90 0 1
+symversion=0.1
+T 62500 50395 5 10 0 1 90 0 1
+footprint=0402
+T 62400 50500 5 10 1 1 0 0 1
+value=1uF
+T 62500 50400 5 10 0 1 0 0 1
+loadstatus=smt
+T 62500 50400 5 10 0 1 0 0 1
+vendor=digikey
+T 62500 50400 5 10 0 1 0 0 1
+vendor_part_number=490-1320-1-ND
+}
+C 63000 50100 1 0 0 gnd.sym
+N 62300 51300 64700 51300 4
+C 62100 51500 1 0 0 3.3V-plus.sym
+N 62300 51500 62300 51300 4
+C 63300 50400 1 90 0 capacitor.sym
+{
+T 62600 50600 5 10 0 0 90 0 1
+device=CAPACITOR
+T 63500 51200 5 10 1 1 180 0 1
+refdes=C3
+T 62400 50600 5 10 0 0 90 0 1
+symversion=0.1
+T 63200 50500 5 10 1 1 0 0 1
+value=0.1uF
+T 63300 50400 5 10 0 1 0 0 1
+footprint=0402
+T 63300 50400 5 10 0 1 0 0 1
+loadstatus=smt
+T 63300 50400 5 10 0 1 0 0 1
+vendor=digikey
+T 63300 50400 5 10 0 1 0 0 1
+vendor_part_number=399-3027-1-ND
+}
+C 64100 50400 1 90 0 capacitor.sym
+{
+T 63400 50600 5 10 0 0 90 0 1
+device=CAPACITOR
+T 64300 51200 5 10 1 1 180 0 1
+refdes=C4
+T 63200 50600 5 10 0 0 90 0 1
+symversion=0.1
+T 64000 50500 5 10 1 1 0 0 1
+value=0.1uF
+T 64100 50400 5 10 0 1 0 0 1
+footprint=0402
+T 64100 50400 5 10 0 1 0 0 1
+loadstatus=smt
+T 64100 50400 5 10 0 1 0 0 1
+vendor=digikey
+T 64100 50400 5 10 0 1 0 0 1
+vendor_part_number=399-3027-1-ND
+}
+C 64900 50400 1 90 0 capacitor.sym
+{
+T 64200 50600 5 10 0 0 90 0 1
+device=CAPACITOR
+T 65100 51200 5 10 1 1 180 0 1
+refdes=C5
+T 64000 50600 5 10 0 0 90 0 1
+symversion=0.1
+T 64800 50500 5 10 1 1 0 0 1
+value=0.1uF
+T 64900 50400 5 10 0 1 0 0 1
+footprint=0402
+T 64900 50400 5 10 0 1 0 0 1
+loadstatus=smt
+T 64900 50400 5 10 0 1 0 0 1
+vendor=digikey
+T 64900 50400 5 10 0 1 0 0 1
+vendor_part_number=399-3027-1-ND
+}
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -27500 -48700 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+N 44300 55900 43500 55900 4
+{
+T 43800 56000 5 10 1 1 0 0 1
+netname=v_usb
+}
+C 43600 54000 1 0 1 gnd.sym
+T -76800 -99800 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -76800 -99800 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T -76800 -99800 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -76800 -99800 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+C 47400 45900 1 0 0 STM32L151-48.sym
+{
+T 41000 44300 5 10 0 0 0 0 1
+device=IC
+T 47800 66000 5 10 1 1 0 0 1
+refdes=U2
+T 47400 45900 5 10 0 0 0 0 1
+vendor=digikey
+T 47400 45900 5 10 0 1 0 0 1
+value=STM32L151
+T 47400 45900 5 10 0 0 0 0 1
+vendor_part_number=497-11190-ND
+T 47400 45900 5 10 0 1 0 0 1
+loadstatus=smt
+T 47400 45900 5 10 0 1 0 0 1
+footprint=lqfp48
+}
+C 50800 66800 1 0 0 3.3V-plus.sym
+N 51000 66300 51000 66800 4
+N 51000 66300 51800 66300 4
+C 52100 45400 1 0 0 gnd.sym
+N 51200 45900 51200 45800 4
+N 51200 45800 53200 45800 4
+N 52200 45700 52200 45800 4
+N 53200 45800 53200 45900 4
+N 52000 45900 52000 45800 4
+N 51600 45900 51600 45800 4
+C 45800 63900 1 270 0 capacitor.sym
+{
+T 46500 63700 5 10 0 0 270 0 1
+device=CAPACITOR
+T 46200 63600 5 10 1 1 0 0 1
+refdes=C33
+T 46700 63700 5 10 0 0 270 0 1
+symversion=0.1
+T 46200 63100 5 10 1 1 0 0 1
+value=22pF
+T 45800 63900 5 10 0 0 0 0 1
+vendor_part_number=490-1283-1-ND
+T 45800 63900 5 10 0 0 0 0 1
+footprint=0402
+T 45800 63900 5 10 0 0 0 0 1
+vendor=digikey
+T 45800 63900 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 44500 63900 1 270 0 capacitor.sym
+{
+T 45200 63700 5 10 0 0 270 0 1
+device=CAPACITOR
+T 44200 63600 5 10 1 1 0 0 1
+refdes=C32
+T 45400 63700 5 10 0 0 270 0 1
+symversion=0.1
+T 44100 63100 5 10 1 1 0 0 1
+value=22pF
+T 44500 63900 5 10 0 0 0 0 1
+vendor_part_number=490-1283-1-ND
+T 44500 63900 5 10 0 0 0 0 1
+footprint=0402
+T 44500 63900 5 10 0 0 0 0 1
+vendor=digikey
+T 44500 63900 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 45900 62700 1 0 0 gnd.sym
+C 44600 62700 1 0 0 gnd.sym
+C 45000 63500 1 0 0 ABM8.sym
+{
+T 45200 64000 5 10 0 0 0 0 1
+device=CRYSTAL
+T 44900 64100 5 10 1 1 0 0 1
+refdes=X1
+T 45200 64200 5 10 0 0 0 0 1
+symversion=0.1
+T 45400 64100 5 10 1 1 0 0 1
+value=8mhz
+T 45000 63500 5 10 0 0 0 0 1
+vendor_part_number=535-9721-1-ND
+T 45000 63500 5 10 0 0 0 0 1
+footprint=ABM3B
+T 45000 63500 5 10 0 0 0 0 1
+vendor=digikey
+T 45000 63500 5 10 0 1 0 0 1
+loadstatus=smt
+}
+C 45600 63200 1 0 0 gnd.sym
+C 44900 63200 1 0 0 gnd.sym
+N 45000 63900 44700 63900 4
+N 44700 63900 44700 64300 4
+N 47400 61100 45400 61100 4
+{
+T 46100 61200 5 10 1 1 0 0 1
+netname=reset_n
+}
+N 47400 55500 45500 55500 4
+{
+T 46100 55600 5 10 1 1 0 0 1
+netname=usbdm
+}
+N 47400 55100 45500 55100 4
+{
+T 46100 55200 5 10 1 1 0 0 1
+netname=usbdp
+}
+C 46000 52800 1 180 0 resistor.sym
+{
+T 45700 52400 5 10 0 0 180 0 1
+device=RESISTOR
+T 45150 52875 5 10 1 1 0 0 1
+refdes=R401
+T 45900 53000 5 10 1 1 180 0 1
+value=10k
+T 46000 52800 5 10 0 0 90 0 1
+footprint=0402
+T 46000 52800 5 10 0 0 90 0 1
+vendor_part_number=RMCF1/16S10K1%RCT-ND
+T 46000 52800 5 10 0 0 90 0 1
+vendor=digikey
+T 46000 52800 5 10 0 1 90 0 1
+loadstatus=smt
+}
+C 45000 52400 1 0 0 gnd.sym
+N 47400 52700 46000 52700 4
+N 44700 64300 47100 64300 4
+N 47100 64300 47100 63900 4
+N 47100 63900 47400 63900 4
+N 45700 63900 46700 63900 4
+N 46700 63900 46700 63500 4
+N 46700 63500 47400 63500 4
+C 46000 62000 1 180 0 resistor.sym
+{
+T 45700 61600 5 10 0 0 180 0 1
+device=RESISTOR
+T 45550 62225 5 10 1 1 180 0 1
+refdes=R402
+T 45600 62100 5 10 1 1 0 0 1
+value=10k
+T 46000 62000 5 10 0 0 90 0 1
+footprint=0402
+T 46000 62000 5 10 0 0 90 0 1
+vendor_part_number=RMCF1/16S10K1%RCT-ND
+T 46000 62000 5 10 0 0 90 0 1
+vendor=digikey
+T 46000 62000 5 10 0 1 90 0 1
+loadstatus=smt
+}
+C 45000 61600 1 0 0 gnd.sym
+N 46000 61900 47400 61900 4
+{
+T 46100 62000 5 10 1 1 0 0 1
+netname=boot0
+}
+N 47400 54700 46100 54700 4
+{
+T 46100 54800 5 10 1 1 0 0 1
+netname=swdio
+}
+N 47400 54300 46100 54300 4
+{
+T 46100 54400 5 10 1 1 0 0 1
+netname=swclk
+}
+N 47400 47500 46100 47500 4
+{
+T 46400 47600 5 10 1 1 0 6 1
+netname=mo2
+}
+N 47400 48300 46100 48300 4
+{
+T 46300 48400 5 10 1 1 0 6 1
+netname=c2
+}
+N 47400 47900 46100 47900 4
+{
+T 46400 48000 5 10 1 1 0 6 1
+netname=mi2
+}
+C 53600 66600 1 0 0 capacitor.sym
+{
+T 53800 67300 5 10 0 0 0 0 1
+device=CAPACITOR
+T 53500 66900 5 10 1 1 0 0 1
+refdes=C601
+T 53800 67500 5 10 0 0 0 0 1
+symversion=0.1
+T 54200 66900 5 10 1 1 0 0 1
+value=1uF
+T 53600 66600 5 10 0 1 0 0 1
+footprint=0402
+T 53600 66600 5 10 0 1 0 0 1
+loadstatus=smt
+T 53600 66600 5 10 0 1 0 0 1
+vendor=digikey
+T 53600 66600 5 10 0 1 0 0 1
+vendor_part_number=490-1320-1-ND
+}
+C 53600 66100 1 0 0 capacitor.sym
+{
+T 53800 66800 5 10 0 0 0 0 1
+device=CAPACITOR
+T 53500 66400 5 10 1 1 0 0 1
+refdes=C602
+T 53800 67000 5 10 0 0 0 0 1
+symversion=0.1
+T 54200 66400 5 10 1 1 0 0 1
+value=0.1uF
+T 53600 66100 5 10 0 1 0 0 1
+footprint=0402
+T 53600 66100 5 10 0 1 0 0 1
+loadstatus=smt
+T 53600 66100 5 10 0 1 0 0 1
+vendor=digikey
+T 53600 66100 5 10 0 1 0 0 1
+vendor_part_number=399-3027-1-ND
+}
+C 51500 66700 1 0 0 inductor.sym
+{
+T 51700 67200 5 10 0 0 0 0 1
+device=INDUCTOR
+T 51700 67000 5 10 1 1 0 0 1
+refdes=L600
+T 51700 67400 5 10 0 0 0 0 1
+symversion=0.1
+T 51700 66600 5 10 1 1 0 0 1
+value=bead
+T 51500 66700 5 10 0 1 0 0 1
+footprint=0402
+T 51500 66700 5 10 0 1 0 0 1
+vendor=digikey
+T 51500 66700 5 10 0 1 0 0 1
+vendor_part_number=240-2554-1-ND
+T 51500 66700 5 10 0 1 0 0 1
+loadstatus=smt
+}
+N 51500 66800 51000 66800 4
+N 52400 66800 53600 66800 4
+{
+T 52500 66900 5 10 1 1 0 0 1
+netname=vdda
+}
+N 53400 66800 53400 66300 4
+N 53000 66300 53600 66300 4
+C 54700 66000 1 0 0 gnd.sym
+N 54800 66800 54800 66300 4
+N 54800 66300 54500 66300 4
+N 54800 66800 54500 66800 4
+C 45600 60200 1 90 0 capacitor.sym
+{
+T 44900 60400 5 10 0 0 90 0 1
+device=CAPACITOR
+T 45300 60900 5 10 1 1 180 0 1
+refdes=C610
+T 44700 60400 5 10 0 0 90 0 1
+symversion=0.1
+T 44800 60400 5 10 1 1 0 0 1
+value=0.1uF
+T 45600 60200 5 10 0 1 0 0 1
+footprint=0402
+T 45600 60200 5 10 0 1 0 0 1
+loadstatus=smt
+T 45600 60200 5 10 0 1 0 0 1
+vendor=digikey
+T 45600 60200 5 10 0 1 0 0 1
+vendor_part_number=399-3027-1-ND
+}
+C 45300 59900 1 0 0 gnd.sym
+N 47400 50300 46100 50300 4
+{
+T 46100 50400 5 10 1 1 0 0 1
+netname=scl1
+}
+N 47400 49900 46100 49900 4
+{
+T 46100 50000 5 10 1 1 0 0 1
+netname=sda1
+}
+N 47400 49500 46100 49500 4
+{
+T 46100 49600 5 10 1 1 0 0 1
+netname=scl2
+}
+N 47400 49100 46100 49100 4
+{
+T 46100 49200 5 10 1 1 0 0 1
+netname=sda2
+}
+C 44600 55400 1 0 0 resistor.sym
+{
+T 44900 55800 5 10 0 0 0 0 1
+device=RESISTOR
+T 44700 55700 5 10 1 1 0 0 1
+refdes=R102
+T 45400 55700 5 10 1 1 0 0 1
+value=22
+T 44600 55400 5 10 0 1 0 0 1
+footprint=0402
+T 44600 55400 5 10 0 1 0 0 1
+loadstatus=smt
+T 44600 55400 5 10 0 1 0 0 1
+vendor=digikey
+T 44600 55400 5 10 0 1 0 0 1
+vendor_part_number=RHM22.0LCT-ND
+}
+C 44600 55000 1 0 0 resistor.sym
+{
+T 44900 55400 5 10 0 0 0 0 1
+device=RESISTOR
+T 44700 54700 5 10 1 1 0 0 1
+refdes=R103
+T 45400 54700 5 10 1 1 0 0 1
+value=22
+T 44600 55000 5 10 0 1 0 0 1
+footprint=0402
+T 44600 55000 5 10 0 1 0 0 1
+loadstatus=smt
+T 44600 55000 5 10 0 1 0 0 1
+vendor=digikey
+T 44600 55000 5 10 0 1 0 0 1
+vendor_part_number=RHM22.0LCT-ND
+}
+N 43500 55500 44600 55500 4
+N 44600 55100 43500 55100 4
+C 64100 53200 1 0 0 conn-4.sym
+{
+T 64400 54800 5 10 1 1 0 0 1
+refdes=J1
+T 64300 53000 5 10 1 1 0 0 1
+value=Debug
+T 64100 53200 5 10 0 0 0 0 1
+footprint=0-215079-4
+T 64100 53200 5 10 0 0 0 0 1
+vendor_part_number=A99472CT-ND
+T 64100 53200 5 10 0 0 0 0 1
+vendor=digikey
+T 64100 53200 5 10 0 0 0 0 1
+loadstatus=throughhole
+T 64100 53200 5 10 0 0 0 0 1
+device=CONNECTOR
+}
+C 63700 54200 1 0 0 gnd.sym
+N 63800 54500 64100 54500 4
+N 64100 54100 62800 54100 4
+{
+T 62800 54200 5 10 1 1 0 0 1
+netname=reset_n
+}
+N 64100 53700 62800 53700 4
+{
+T 62800 53800 5 10 1 1 0 0 1
+netname=swdio
+}
+N 64100 53300 62800 53300 4
+{
+T 62800 53400 5 10 1 1 0 0 1
+netname=swclk
+}
+N 64700 50400 62300 50400 4
+N 47400 59900 46100 59900 4
+{
+T 46100 60000 5 10 1 1 0 0 1
+netname=accel
+}
+N 47400 59500 46100 59500 4
+{
+T 46100 59600 5 10 1 1 0 0 1
+netname=accel_ref
+}
+T -52500 -75800 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -52500 -75800 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+T -52500 -75800 8 10 0 1 0 0 1
+vendor_part_number=MMA7260QT-ND
+T -52500 -75800 8 10 0 1 0 0 1
+vendor_part_number=MCP9700-E/TO-ND
+C 59700 60000 1 180 0 resistor.sym
+{
+T 59400 59600 5 10 0 0 180 0 1
+device=RESISTOR
+T 59300 60100 5 10 1 1 0 0 1
+refdes=R52
+T 58900 60100 5 10 1 1 0 0 1
+value=270
+T 59700 60000 5 10 0 0 180 0 1
+footprint=0402
+T 59700 60000 5 10 0 0 180 0 1
+vendor=digikey
+T 59700 60000 5 10 0 0 180 0 1
+vendor_part_number=541-270LCT-ND
+T 59700 60000 5 10 0 0 180 0 1
+loadstatus=smt
+}
+C 59700 59600 1 180 0 resistor.sym
+{
+T 59400 59200 5 10 0 0 180 0 1
+device=RESISTOR
+T 59300 59100 5 10 1 1 0 0 1
+refdes=R53
+T 58900 59100 5 10 1 1 0 0 1
+value=270
+T 59700 59600 5 10 0 0 180 0 1
+footprint=0402
+T 59700 59600 5 10 0 0 180 0 1
+vendor=digikey
+T 59700 59600 5 10 0 0 180 0 1
+vendor_part_number=541-270LCT-ND
+T 59700 59600 5 10 0 0 180 0 1
+loadstatus=smt
+}
+C 60400 59200 1 0 1 gnd.sym
+N 58800 59900 56900 59900 4
+{
+T 58100 60200 5 10 1 1 180 0 1
+netname=led_red
+}
+N 58800 59500 56900 59500 4
+{
+T 58300 59750 5 10 1 1 180 0 1
+netname=led_green
+}
+N 60100 59900 60300 59900 4
+N 60100 59500 60300 59500 4
+C 59700 60000 1 180 1 led2.sym
+{
+T 59850 60200 5 10 1 1 180 6 1
+refdes=D2
+T 59600 59400 5 10 0 0 180 6 1
+device=LED
+T 59650 60000 5 10 0 1 180 6 1
+footprint=0605
+T 59700 60000 5 10 0 0 0 0 1
+vendor=digikey
+T 59700 60000 5 10 0 0 0 0 1
+vendor_part_number=160-1452-1-ND
+T 59700 60000 5 10 0 0 0 0 1
+value=dualLED
+T 59700 60000 5 10 0 0 0 0 1
+loadstatus=smt
+}
+N 60300 59900 60300 59500 4
+C 43500 53900 1 0 1 USBmicroB.sym
+{
+T 42505 56300 5 10 1 1 0 6 1
+refdes=J5
+T 43145 54295 5 10 0 1 0 6 1
+footprint=ZX62-B-5PA
+T 43500 53900 5 10 0 0 0 0 1
+vendor=digikey
+T 43500 53900 5 10 0 0 0 0 1
+vendor_part_number=H11634CT-ND
+T 43500 53900 5 10 0 0 0 0 1
+loadstatus=smt
+T 43500 53900 5 10 0 0 0 0 1
+device=CONNECTOR
+T 43500 53900 5 10 0 0 0 0 1
+value=USBmicroB
+}
diff --git a/project b/project
new file mode 100644 (file)
index 0000000..7b99846
--- /dev/null
+++ b/project
@@ -0,0 +1,13 @@
+# List all the schematics to be netlisted and laid out on the pc board
+schematics     megadaughter.sch
+
+# for an output-name of foo, gsch2pcb generates files foo.net, foo.pcb,
+# and foo.new.pcb.  if there is no output name specified, the file names
+# are derived from the first listed schematic...
+output-name    megadaughter
+
+elements-dir ../bdale/pkg
+elements-dir /usr/share/pcb
+
+# stick to newlib elements, don't use the older/odder m4 stuff
+skip-m4
diff --git a/symbols/3.3V-plus.sym b/symbols/3.3V-plus.sym
new file mode 100644 (file)
index 0000000..fbe5cc4
--- /dev/null
@@ -0,0 +1,17 @@
+v 20031231 1
+P 200 0 200 200 1 0 0
+{
+T 250 50 5 6 0 1 0 0 1
+pinnumber=1
+T 250 50 5 6 0 0 0 0 1
+pinseq=1
+T 250 50 5 6 0 1 0 0 1 
+pinlabel=1
+T 250 50 5 6 0 1 0 0 1 
+pintype=pwr
+}
+L 50 200 350 200 3 0 0 0 -1 -1
+T 75 250 9 8 1 0 0 0 1
++3.3V
+T 300 0 8 8 0 0 0 0 1
+net=+3.3V:1
diff --git a/symbols/5V-plus.sym b/symbols/5V-plus.sym
new file mode 100644 (file)
index 0000000..6804741
--- /dev/null
@@ -0,0 +1,17 @@
+v 20031231 1
+P 200 0 200 200 1 0 0
+{
+T 250 50 5 6 0 1 0 0 1
+pinnumber=1
+T 250 50 5 6 0 0 0 0 1
+pinseq=1
+T 250 50 5 6 0 1 0 0 1 
+pinlabel=1
+T 250 50 5 6 0 1 0 0 1 
+pintype=pwr
+}
+L 50 200 350 200 3 0 0 0 -1 -1
+T 75 250 9 8 1 0 0 0 1
++5V
+T 300 0 8 8 0 0 0 0 1
+net=+5V:1
diff --git a/symbols/ABM8.sym b/symbols/ABM8.sym
new file mode 100644 (file)
index 0000000..cfdf5d3
--- /dev/null
@@ -0,0 +1,60 @@
+v 20080127 1
+P 0 400 200 400 1 0 0
+{
+T 150 450 5 8 0 1 0 6 1
+pinnumber=1
+T 150 350 5 8 0 1 0 8 1
+pinseq=1
+T 250 400 9 8 0 1 0 0 1
+pinlabel=1
+T 250 400 5 8 0 1 0 2 1
+pintype=pas
+}
+P 500 400 700 400 1 0 1
+{
+T 550 450 5 8 0 1 0 0 1
+pinnumber=3
+T 550 350 5 8 0 1 0 2 1
+pinseq=3
+T 450 400 9 8 0 1 0 6 1
+pinlabel=3
+T 450 400 5 8 0 1 0 8 1
+pintype=pas
+}
+B 250 300 200 200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 200 500 5 10 0 0 0 0 1
+device=CRYSTAL
+L 200 540 200 260 3 0 0 0 -1 -1
+L 500 540 500 260 3 0 0 0 -1 -1
+T 200 600 8 10 1 1 0 0 1
+refdes=X?
+T 200 1100 5 10 0 0 0 0 1
+description=crystal
+T 200 900 5 10 0 0 0 0 1
+numslots=0
+T 200 700 5 10 0 0 0 0 1
+symversion=0.1
+P 0 0 200 0 1 0 0
+{
+T 0 0 5 10 0 0 0 0 1
+pintype=unknown
+T 255 -5 5 10 0 0 0 0 1
+pinlabel=unknown
+T 105 45 5 10 0 0 0 6 1
+pinnumber=2
+T 0 0 5 10 0 0 0 0 1
+pinseq=2
+}
+P 700 0 500 0 1 0 0
+{
+T 700 0 5 10 0 0 0 0 1
+pintype=unknown
+T 1545 195 5 10 0 1 0 6 1
+pinlabel=unknown
+T 595 45 5 10 0 1 0 0 1
+pinnumber=4
+T 700 0 5 10 0 0 0 0 1
+pinseq=4
+}
+L 200 0 500 0 3 0 0 0 -1 -1
+L 350 0 350 300 3 0 0 0 -1 -1
diff --git a/symbols/ADXL78.sym b/symbols/ADXL78.sym
new file mode 100644 (file)
index 0000000..be85b45
--- /dev/null
@@ -0,0 +1,63 @@
+v 20110115 2
+P 0 1700 300 1700 1 0 0
+{
+T 0 1700 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1695 5 10 1 1 0 0 1
+pinlabel=VDD
+T 205 1745 5 10 1 1 0 6 1
+pinnumber=7
+T 0 1700 5 10 0 0 0 0 1
+pinseq=0
+}
+P 2300 1700 2000 1700 1 0 0
+{
+T 2300 1700 5 10 0 0 0 0 1
+pintype=unknown
+T 1945 1695 5 10 1 1 0 6 1
+pinlabel=VOUT
+T 2095 1745 5 10 1 1 0 0 1
+pinnumber=6
+T 2300 1700 5 10 0 0 0 0 1
+pinseq=0
+}
+P 1500 0 1500 300 1 0 0
+{
+T 1500 0 5 10 0 0 0 0 1
+pintype=unknown
+T 1645 500 5 10 1 1 180 0 1
+pinlabel=VSS
+T 1595 250 5 10 1 1 180 6 1
+pinnumber=3
+T 1500 0 5 10 0 0 0 0 1
+pinseq=0
+}
+B 300 300 1700 1900 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 795 1995 8 10 1 1 0 0 1
+device=ADXL78
+T 295 2295 8 10 1 1 0 0 1
+refdes=U?
+T -5 -5 8 10 0 1 0 0 1
+footprint=ADXL78
+P 0 500 300 500 1 0 0
+{
+T 0 500 5 10 0 0 0 0 1
+pintype=unknown
+T 355 495 5 10 1 1 0 0 1
+pinlabel=ST
+T 205 545 5 10 1 1 0 6 1
+pinnumber=4
+T 0 500 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1300 300 1300 1 0 0
+{
+T 0 1300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1295 5 10 1 1 0 0 1
+pinlabel=VDD2
+T 205 1345 5 10 1 1 0 6 1
+pinnumber=8
+T 0 1300 5 10 0 0 0 0 1
+pinseq=0
+}
diff --git a/symbols/LTC1682-5.sym b/symbols/LTC1682-5.sym
new file mode 100644 (file)
index 0000000..39f9829
--- /dev/null
@@ -0,0 +1,97 @@
+v 20080127 1
+P 0 1300 300 1300 1 0 0
+{
+T 0 1300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1295 5 10 1 1 0 0 1
+pinlabel=IN
+T 205 1345 5 10 1 1 0 6 1
+pinnumber=6
+T 0 1300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 900 300 900 1 0 0
+{
+T 0 900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 895 5 10 1 1 0 0 1
+pinlabel=SHDN
+T 205 945 5 10 1 1 0 6 1
+pinnumber=2
+T 0 900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 2800 1300 2500 1300 1 0 0
+{
+T 2800 1300 5 10 0 0 0 0 1
+pintype=unknown
+T 2445 1295 5 10 1 1 0 6 1
+pinlabel=OUT
+T 2595 1345 5 10 1 1 0 0 1
+pinnumber=1
+T 2800 1300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 1200 0 1200 300 1 0 0
+{
+T 1200 0 5 10 0 0 0 0 1
+pintype=unknown
+T 1445 500 5 10 1 1 180 0 1
+pinlabel=GND
+T 1405 50 5 10 1 1 0 6 1
+pinnumber=4
+T 1200 0 5 10 0 0 0 0 1
+pinseq=0
+}
+B 300 300 2200 1600 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 395 1995 8 10 1 1 0 0 1
+refdes=U?
+T 795 1195 8 10 1 1 0 0 1
+device=LTC1682-5
+T -5 -5 8 10 0 1 0 0 1
+footprint=SO8
+P 2800 500 2500 500 1 0 0
+{
+T 2800 500 5 10 0 0 90 0 1
+pintype=unknown
+T 2445 495 5 10 1 1 0 6 1
+pinlabel=FILT
+T 2595 545 5 10 1 1 0 0 1
+pinnumber=3
+T 2800 500 5 10 0 0 90 0 1
+pinseq=0
+}
+P 2800 900 2500 900 1 0 0
+{
+T 2800 900 5 10 0 0 0 6 1
+pintype=unknown
+T 2445 895 5 10 1 1 0 6 1
+pinlabel=CPO
+T 2595 945 5 10 1 1 0 0 1
+pinnumber=8
+T 2800 900 5 10 0 0 0 6 1
+pinseq=0
+}
+L 350 1050 850 1050 3 0 0 0 -1 -1
+P 2000 2200 2000 1900 1 0 0
+{
+T 2000 2200 5 10 0 0 0 0 1
+pintype=unknown
+T 1855 1800 5 10 1 1 180 6 1
+pinlabel=C+
+T 2205 2150 5 10 1 1 180 0 1
+pinnumber=7
+T 2000 2200 5 10 0 0 0 0 1
+pinseq=0
+}
+P 800 2200 800 1900 1 0 0
+{
+T 800 2200 5 10 0 0 0 0 1
+pintype=unknown
+T 755 1800 5 10 1 1 180 6 1
+pinlabel=C-
+T 1005 2150 5 10 1 1 180 0 1
+pinnumber=5
+T 800 2200 5 10 0 0 0 0 1
+pinseq=0
+}
diff --git a/symbols/STM32L151-48.sym b/symbols/STM32L151-48.sym
new file mode 100644 (file)
index 0000000..0ad2ad3
--- /dev/null
@@ -0,0 +1,542 @@
+v 20110115 2
+P 5800 0 5800 400 1 0 0
+{
+T 5750 305 5 10 1 1 90 6 1
+pinnumber=8
+T 5800 455 3 10 1 1 90 0 1
+pinlabel=VSSA
+T 5700 -400 5 10 0 1 90 0 1
+pinseq=21
+T 5800 0 5 10 0 1 90 0 1
+pintype=pwr
+}
+B 400 400 8700 19600 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T -6400 -2200 8 10 0 0 0 0 1
+description=Atmel ATmega328P Microcontroller
+T -6400 -1300 8 10 0 0 0 0 1
+numslots=1
+T -6400 -1600 8 10 0 0 0 0 1
+device=ATmega328P
+T 2750 17450 9 30 1 0 0 0 1
+STM32L151CBT
+T -6400 -1000 8 10 0 0 0 0 1
+slot=1
+T 400 20100 8 10 1 1 0 0 1
+refdes=U?
+P 0 15200 400 15200 1 0 0
+{
+T 305 15245 5 10 1 1 0 6 1
+pinnumber=7
+T 455 15195 3 10 1 1 0 0 1
+pinlabel=NRST
+T 0 15200 5 10 0 1 0 6 1
+pinseq=25
+T 0 15200 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 17600 400 17600 1 0 0
+{
+T 305 17645 5 10 1 1 0 6 1
+pinnumber=6
+T 455 17595 3 10 1 1 0 0 1
+pinlabel=PH1/OSC_OUT
+T 0 17600 5 10 0 1 0 6 1
+pinseq=25
+T 0 17600 5 10 0 1 0 6 1
+pintype=out
+}
+P 0 18000 400 18000 1 0 0
+{
+T 100 18100 5 10 1 1 0 0 1
+pinnumber=5
+T 500 18000 3 10 1 1 0 0 1
+pinlabel=PH0/OSC_IN
+T -400 18100 5 10 0 1 0 0 1
+pinseq=20
+T 0 18000 5 10 0 1 0 0 1
+pintype=in
+}
+P 9500 14400 9100 14400 1 0 0
+{
+T 9195 14445 5 10 1 1 0 0 1
+pinnumber=2
+T 9045 14395 3 10 1 1 0 6 1
+pinlabel=PC13/RTC_AF1/WKUP2
+T 9900 14500 5 10 0 1 0 6 1
+pinseq=18
+T 9500 14400 5 10 0 1 0 6 1
+pintype=io
+}
+P 5600 20400 5600 20000 1 0 0
+{
+T 5550 20095 5 10 1 1 90 0 1
+pinnumber=1
+T 5600 19945 3 10 1 1 90 6 1
+pinlabel=VLCD
+T 5500 20800 5 10 0 1 270 2 1
+pinseq=4
+T 5600 20400 5 10 0 1 270 2 1
+pintype=pwr
+}
+P 9500 14000 9100 14000 1 0 0
+{
+T 9195 14045 5 10 1 1 0 0 1
+pinnumber=3
+T 9045 13995 3 10 1 1 0 6 1
+pinlabel=PC14/OSC32_IN
+T 9900 14100 5 10 0 1 0 6 1
+pinseq=18
+T 9500 14000 5 10 0 1 0 6 1
+pintype=io
+}
+P 6000 20400 6000 20000 1 0 0
+{
+T 5950 20095 5 10 1 1 90 0 1
+pinnumber=9
+T 6000 19945 3 10 1 1 90 6 1
+pinlabel=VDDA
+T 5900 20800 5 10 0 1 270 2 1
+pinseq=3
+T 6000 20400 5 10 0 1 270 2 1
+pintype=pwr
+}
+P 0 14000 400 14000 1 0 0
+{
+T 100 14100 5 10 1 1 0 0 1
+pinnumber=10
+T 500 14000 3 10 1 1 0 0 1
+pinlabel=PA0/WKUP1/USART2_CTS/ADC_IN0/TIM2_CH1_ETR
+T -400 14100 5 10 0 1 0 0 1
+pinseq=21
+T 0 14000 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 13600 400 13600 1 0 0
+{
+T 305 13645 5 10 1 1 0 6 1
+pinnumber=11
+T 455 13595 3 10 1 1 0 0 1
+pinlabel=PA1/USART2_RTS/ADC_IN1/TIM2_CH2
+T 0 13600 5 10 0 1 0 6 1
+pinseq=25
+T 0 13600 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 13200 400 13200 1 0 0
+{
+T 100 13300 5 10 1 1 0 0 1
+pinnumber=12
+T 500 13200 3 10 1 1 0 0 1
+pinlabel=PA2/USART2_TX/ADC_IN2/TIM2_CH3/TIM9_CH1
+T -400 13300 5 10 0 1 0 0 1
+pinseq=21
+T 0 13200 5 10 0 1 0 0 1
+pintype=io
+}
+P 9500 13600 9100 13600 1 0 0
+{
+T 9195 13645 5 10 1 1 0 0 1
+pinnumber=4
+T 9045 13595 3 10 1 1 0 6 1
+pinlabel=PC15/OSC32_OUT
+T 9900 13700 5 10 0 1 0 6 1
+pinseq=18
+T 9500 13600 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 12800 400 12800 1 0 0
+{
+T 100 12900 5 10 1 1 0 0 1
+pinnumber=13
+T 500 12800 3 10 1 1 0 0 1
+pinlabel=PA3/USART2_RX/ADC_IN3/TIM2_CH4/TIM9_CH2
+T -400 12900 5 10 0 1 0 0 1
+pinseq=20
+T 0 12800 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 7600 400 7600 1 0 0
+{
+T 305 7645 5 10 1 1 0 6 1
+pinnumber=18
+T 455 7595 3 10 1 1 0 0 1
+pinlabel=PB0/ADC_IN8/TIM3_CH3/VREF_OUT
+T -400 7700 5 10 0 1 0 0 1
+pinseq=20
+T 0 7600 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 7200 400 7200 1 0 0
+{
+T 305 7245 5 10 1 1 0 6 1
+pinnumber=19
+T 455 7195 3 10 1 1 0 0 1
+pinlabel=PB1/ADC_IN9/TIM3_CH4/VREF_OUT
+T -400 7300 5 10 0 1 0 0 1
+pinseq=20
+T 0 7200 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 6800 400 6800 1 0 0
+{
+T 305 6845 5 10 1 1 0 6 1
+pinnumber=20
+T 455 6795 3 10 1 1 0 0 1
+pinlabel=PB2/BOOT1
+T -400 6900 5 10 0 1 0 0 1
+pinseq=20
+T 0 6800 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 12400 400 12400 1 0 0
+{
+T 100 12500 5 10 1 1 0 0 1
+pinnumber=14
+T 500 12400 3 10 1 1 0 0 1
+pinlabel=PA4/SPI1_NSS/USART2_CK/ADC_IN4/DAC_OUT1
+T -400 12500 5 10 0 1 0 0 1
+pinseq=18
+T 0 12400 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 11200 400 11200 1 0 0
+{
+T 100 11300 5 10 1 1 0 0 1
+pinnumber=17
+T 500 11200 3 10 1 1 0 0 1
+pinlabel=PA7/SPI1_MOSI/ADC_IN7/TIM3_CH2/TIM11_CH1
+T -400 11300 5 10 0 1 0 0 1
+pinseq=18
+T 0 11200 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 11600 400 11600 1 0 0
+{
+T 100 11700 5 10 1 1 0 0 1
+pinnumber=16
+T 500 11600 3 10 1 1 0 0 1
+pinlabel=PA6/SPI1_MISO_ADC_IN6/TIM3_CH1/TIM10_CH1
+T -400 11700 5 10 0 1 0 0 1
+pinseq=4
+T 0 11600 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 12000 400 12000 1 0 0
+{
+T 100 12100 5 10 1 1 0 0 1
+pinnumber=15
+T 500 12000 3 10 1 1 0 0 1
+pinlabel=PA5/SPI1_SCK/ADC_IN5/DAC_OUT2/TIM2_CH1_ETR
+T -400 12100 5 10 0 1 0 0 1
+pinseq=20
+T 0 12000 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 3600 400 3600 1 0 0
+{
+T 305 3645 5 10 1 1 0 6 1
+pinnumber=21
+T 455 3595 3 10 1 1 0 0 1
+pinlabel=PB10/I2C2_SCL/USART3_TX/TIM2_CH3
+T -400 3700 5 10 0 1 0 0 1
+pinseq=3
+T 0 3600 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 3200 400 3200 1 0 0
+{
+T 305 3245 5 10 1 1 0 6 1
+pinnumber=22
+T 455 3195 3 10 1 1 0 0 1
+pinlabel=PB11/I2C2_SDA/USART3_RX/TIM2_CH4
+T -400 3300 5 10 0 1 0 0 1
+pinseq=21
+T 0 3200 5 10 0 1 0 0 1
+pintype=io
+}
+P 3800 0 3800 400 1 0 0
+{
+T 3750 305 5 10 1 1 90 6 1
+pinnumber=23
+T 3800 455 3 10 1 1 90 0 1
+pinlabel=VSS1
+T 3800 0 5 10 0 1 90 6 1
+pinseq=25
+T 3800 0 5 10 0 1 90 6 1
+pintype=pwr
+}
+P 3600 20400 3600 20000 1 0 0
+{
+T 3550 20095 5 10 1 1 90 0 1
+pinnumber=24
+T 3600 19945 3 10 1 1 90 6 1
+pinlabel=VDD1
+T 3500 20800 5 10 0 1 270 2 1
+pinseq=21
+T 3600 20400 5 10 0 1 270 2 1
+pintype=pwr
+}
+P 0 2000 400 2000 1 0 0
+{
+T 305 2045 5 10 1 1 0 6 1
+pinnumber=27
+T 455 1995 3 10 1 1 0 0 1
+pinlabel=PB14/SPI2_MISO/USART3_RTS/ADC_IN20/TIM9_CH2
+T -400 2100 5 10 0 1 0 0 1
+pinseq=18
+T 0 2000 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 2400 400 2400 1 0 0
+{
+T 305 2445 5 10 1 1 0 6 1
+pinnumber=26
+T 455 2395 3 10 1 1 0 0 1
+pinlabel=PB13/SPI2_SCK/USART3_CTS/ADC_IN19/TIM9_CH1
+T -400 2500 5 10 0 1 0 0 1
+pinseq=4
+T 0 2400 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 2800 400 2800 1 0 0
+{
+T 305 2845 5 10 1 1 0 6 1
+pinnumber=25
+T 455 2795 3 10 1 1 0 0 1
+pinlabel=PB12/SPI2_NSS/I2C2_SMBA/USART3_CKI/ADC_IN18/TIM10_CH1
+T -400 2900 5 10 0 1 0 0 1
+pinseq=20
+T 0 2800 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 10400 400 10400 1 0 0
+{
+T 100 10500 5 10 1 1 0 0 1
+pinnumber=30
+T 500 10400 3 10 1 1 0 0 1
+pinlabel=PA9/USART1_TX
+T -400 10500 5 10 0 1 0 0 1
+pinseq=3
+T 0 10400 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 10000 400 10000 1 0 0
+{
+T 100 10100 5 10 1 1 0 0 1
+pinnumber=31
+T 500 10000 3 10 1 1 0 0 1
+pinlabel=PA10/USART1_RX
+T -400 10100 5 10 0 1 0 0 1
+pinseq=21
+T 0 10000 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 9600 400 9600 1 0 0
+{
+T 305 9645 5 10 1 1 0 6 1
+pinnumber=32
+T 455 9595 3 10 1 1 0 0 1
+pinlabel=PA11/USART1_CTS/USBDM/SPI1_MISO
+T 0 9600 5 10 0 1 0 6 1
+pinseq=25
+T 0 9600 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 10800 400 10800 1 0 0
+{
+T 305 10845 5 10 1 1 0 6 1
+pinnumber=29
+T 455 10795 3 10 1 1 0 0 1
+pinlabel=PA8/USART1_CK/MCO
+T 0 10800 5 10 0 1 0 6 1
+pinseq=25
+T 0 10800 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 9200 400 9200 1 0 0
+{
+T 100 9300 5 10 1 1 0 0 1
+pinnumber=33
+T 500 9200 3 10 1 1 0 0 1
+pinlabel=PA12/USART1_RTS/USBDP/SPI1_MOSI
+T -400 9300 5 10 0 1 0 0 1
+pinseq=21
+T 0 9200 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 1600 400 1600 1 0 0
+{
+T 305 1645 5 10 1 1 0 6 1
+pinnumber=28
+T 455 1595 3 10 1 1 0 0 1
+pinlabel=PB15/SPI2_MOSI/ADC_IN21/TIM11_CH1/RTC_50_60HZ
+T -400 1700 5 10 0 1 0 0 1
+pinseq=18
+T 0 1600 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 8800 400 8800 1 0 0
+{
+T 100 8900 5 10 1 1 0 0 1
+pinnumber=34
+T 500 8800 3 10 1 1 0 0 1
+pinlabel=PA13/JTMS/SWDIO
+T -400 8900 5 10 0 1 0 0 1
+pinseq=3
+T 0 8800 5 10 0 1 0 0 1
+pintype=io
+}
+P 4200 0 4200 400 1 0 0
+{
+T 4150 305 5 10 1 1 90 6 1
+pinnumber=35
+T 4200 455 3 10 1 1 90 0 1
+pinlabel=VSS2
+T 4200 0 5 10 0 1 90 6 1
+pinseq=25
+T 4200 0 5 10 0 1 90 6 1
+pintype=pwr
+}
+P 4000 20400 4000 20000 1 0 0
+{
+T 3950 20095 5 10 1 1 90 0 1
+pinnumber=36
+T 4000 19945 3 10 1 1 90 6 1
+pinlabel=VDD2
+T 3900 20800 5 10 0 1 270 2 1
+pinseq=21
+T 4000 20400 5 10 0 1 270 2 1
+pintype=pwr
+}
+P 0 8000 400 8000 1 0 0
+{
+T 100 8100 5 10 1 1 0 0 1
+pinnumber=38
+T 500 8000 3 10 1 1 0 0 1
+pinlabel=PA15/JTDI/TIM2_CH1_ETR/SPI1_NSS
+T -400 8100 5 10 0 1 0 0 1
+pinseq=4
+T 0 8000 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 8400 400 8400 1 0 0
+{
+T 100 8500 5 10 1 1 0 0 1
+pinnumber=37
+T 500 8400 3 10 1 1 0 0 1
+pinlabel=PA14/JTCK/SWCLK
+T -400 8500 5 10 0 1 0 0 1
+pinseq=20
+T 0 8400 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 4800 400 4800 1 0 0
+{
+T 305 4845 5 10 1 1 0 6 1
+pinnumber=43
+T 455 4795 3 10 1 1 0 0 1
+pinlabel=PB7/I2C1_SDA/TIM4_CH2/USART1_RX/PVD_IN
+T -400 4900 5 10 0 1 0 0 1
+pinseq=3
+T 0 4800 5 10 0 1 0 0 1
+pintype=io
+}
+P 0 16000 400 16000 1 0 0
+{
+T 100 16100 5 10 1 1 0 0 1
+pinnumber=44
+T 500 16000 3 10 1 1 0 0 1
+pinlabel=BOOT0
+T -400 16100 5 10 0 1 0 0 1
+pinseq=21
+T 0 16000 5 10 0 1 0 0 1
+pintype=in
+}
+P 0 4400 400 4400 1 0 0
+{
+T 305 4445 5 10 1 1 0 6 1
+pinnumber=45
+T 455 4395 3 10 1 1 0 0 1
+pinlabel=PB8/TIM4_CH3/I2C1_SCL/TIM10_CH1
+T 0 4400 5 10 0 1 0 6 1
+pinseq=25
+T 0 4400 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 6400 400 6400 1 0 0
+{
+T 305 6445 5 10 1 1 0 6 1
+pinnumber=39
+T 455 6395 3 10 1 1 0 0 1
+pinlabel=PB3/JTDO/TIM2_CH2/TRACESWO/SPI1_SCK
+T 0 6400 5 10 0 1 0 6 1
+pinseq=25
+T 0 6400 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 6000 400 6000 1 0 0
+{
+T 305 6045 5 10 1 1 0 6 1
+pinnumber=40
+T 455 5995 3 10 1 1 0 0 1
+pinlabel=PB4/JNTRSTSPI1_MISO/TIM3_CH1
+T 0 6000 5 10 0 1 0 6 1
+pinseq=25
+T 0 6000 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 5200 400 5200 1 0 0
+{
+T 305 5245 5 10 1 1 0 6 1
+pinnumber=42
+T 455 5195 3 10 1 1 0 0 1
+pinlabel=PB6/I2C1_SCL/TIM4_CH1/USART1_TX
+T 0 5200 5 10 0 1 0 6 1
+pinseq=25
+T 0 5200 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 5600 400 5600 1 0 0
+{
+T 305 5645 5 10 1 1 0 6 1
+pinnumber=41
+T 455 5595 3 10 1 1 0 0 1
+pinlabel=PB5/I2C1_SMBA/TIM3_CH2/SPI1_MOSI
+T 0 5600 5 10 0 1 0 6 1
+pinseq=25
+T 0 5600 5 10 0 1 0 6 1
+pintype=io
+}
+P 0 4000 400 4000 1 0 0
+{
+T 305 4045 5 10 1 1 0 6 1
+pinnumber=46
+T 455 3995 3 10 1 1 0 0 1
+pinlabel=PB9/TIM4_CH4/I2C1_SDA/TIM11_CH1
+T -400 4100 5 10 0 1 0 0 1
+pinseq=21
+T 0 4000 5 10 0 1 0 0 1
+pintype=io
+}
+P 4600 0 4600 400 1 0 0
+{
+T 4550 305 5 10 1 1 90 6 1
+pinnumber=47
+T 4600 455 3 10 1 1 90 0 1
+pinlabel=VSS3
+T 4600 0 5 10 0 1 90 6 1
+pinseq=25
+T 4600 0 5 10 0 1 90 6 1
+pintype=pwr
+}
+P 4400 20400 4400 20000 1 0 0
+{
+T 4350 20095 5 10 1 1 90 0 1
+pinnumber=48
+T 4400 19945 3 10 1 1 90 6 1
+pinlabel=VDD3
+T 4300 20800 5 10 0 1 270 2 1
+pinseq=21
+T 4400 20400 5 10 0 1 270 2 1
+pintype=pwr
+}
diff --git a/symbols/USBmicroB.sym b/symbols/USBmicroB.sym
new file mode 100644 (file)
index 0000000..8e8f874
--- /dev/null
@@ -0,0 +1,63 @@
+v 20100214 2
+P 0 2000 300 2000 1 0 0
+{
+T 0 2000 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1995 5 10 1 1 0 0 1
+pinlabel=VBUS
+T 205 2045 5 10 1 1 0 6 1
+pinnumber=1
+T 0 2000 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1600 300 1600 1 0 0
+{
+T 0 1600 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1595 5 10 1 1 0 0 1
+pinlabel=DATA-
+T 205 1645 5 10 1 1 0 6 1
+pinnumber=2
+T 0 1600 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1200 300 1200 1 0 0
+{
+T 0 1200 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1195 5 10 1 1 0 0 1
+pinlabel=DATA+
+T 205 1245 5 10 1 1 0 6 1
+pinnumber=3
+T 0 1200 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 800 300 800 1 0 0
+{
+T 0 800 5 10 0 0 0 0 1
+pintype=unknown
+T 355 795 5 10 1 1 0 0 1
+pinlabel=ID
+T 205 845 5 10 1 1 0 6 1
+pinnumber=4
+T 0 800 5 10 0 0 0 0 1
+pinseq=0
+}
+B 300 200 900 2100 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 295 2400 8 10 1 1 0 0 1
+refdes=J?
+T 300 0 9 10 1 0 0 0 1
+USBmicroB
+P 0 400 300 400 1 0 0
+{
+T 0 400 5 10 0 0 0 0 1
+pintype=unknown
+T 355 395 5 10 1 1 0 0 1
+pinlabel=GND
+T 205 445 5 10 1 1 0 6 1
+pinnumber=5
+T 0 400 5 10 0 0 0 0 1
+pinseq=0
+}
+T 355 395 8 10 0 1 0 0 1
+footprint=ZX62-B-5PA
diff --git a/symbols/capacitor.sym b/symbols/capacitor.sym
new file mode 100644 (file)
index 0000000..e814576
--- /dev/null
@@ -0,0 +1,37 @@
+v 20070902 1
+P 0 200 200 200 1 0 0
+{
+T 150 250 5 8 0 1 0 6 1
+pinnumber=1
+T 150 150 5 8 0 1 0 8 1
+pinseq=1
+T 200 200 9 8 0 1 0 0 1
+pinlabel=1
+T 200 200 5 8 0 1 0 2 1
+pintype=pas
+}
+P 900 200 700 200 1 0 0
+{
+T 750 250 5 8 0 1 0 0 1
+pinnumber=2
+T 750 150 5 8 0 1 0 2 1
+pinseq=2
+T 700 200 9 8 0 1 0 6 1
+pinlabel=2
+T 700 200 5 8 0 1 0 8 1
+pintype=pas
+}
+L 400 400 400 0 3 0 0 0 -1 -1
+L 500 400 500 0 3 0 0 0 -1 -1
+L 700 200 500 200 3 0 0 0 -1 -1
+L 400 200 200 200 3 0 0 0 -1 -1
+T 200 700 5 10 0 0 0 0 1
+device=CAPACITOR
+T 200 500 8 10 1 1 0 0 1
+refdes=C?
+T 200 1300 5 10 0 0 0 0 1
+description=capacitor
+T 200 1100 5 10 0 0 0 0 1
+numslots=0
+T 200 900 5 10 0 0 0 0 1
+symversion=0.1
diff --git a/symbols/conn-16.sym b/symbols/conn-16.sym
new file mode 100644 (file)
index 0000000..5bbc75c
--- /dev/null
@@ -0,0 +1,180 @@
+v 20110115 2
+P 0 6100 300 6100 1 0 0
+{
+T 0 6100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 6095 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 6145 5 10 1 1 0 6 1
+pinnumber=1
+T 0 6100 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 5700 300 5700 1 0 0
+{
+T 0 5700 5 10 0 0 0 0 1
+pintype=unknown
+T 355 5695 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 5745 5 10 1 1 0 6 1
+pinnumber=2
+T 0 5700 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 5300 300 5300 1 0 0
+{
+T 0 5300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 5295 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 5345 5 10 1 1 0 6 1
+pinnumber=3
+T 0 5300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 4900 300 4900 1 0 0
+{
+T 0 4900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 4895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 4945 5 10 1 1 0 6 1
+pinnumber=4
+T 0 4900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 4500 300 4500 1 0 0
+{
+T 0 4500 5 10 0 0 0 0 1
+pintype=unknown
+T 355 4495 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 4545 5 10 1 1 0 6 1
+pinnumber=5
+T 0 4500 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 4100 300 4100 1 0 0
+{
+T 0 4100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 4095 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 4145 5 10 1 1 0 6 1
+pinnumber=6
+T 0 4100 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 3700 300 3700 1 0 0
+{
+T 0 3700 5 10 0 0 0 0 1
+pintype=unknown
+T 355 3695 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 3745 5 10 1 1 0 6 1
+pinnumber=7
+T 0 3700 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 3300 300 3300 1 0 0
+{
+T 0 3300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 3295 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 3345 5 10 1 1 0 6 1
+pinnumber=8
+T 0 3300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 2900 300 2900 1 0 0
+{
+T 0 2900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 2895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 2945 5 10 1 1 0 6 1
+pinnumber=9
+T 0 2900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 2500 300 2500 1 0 0
+{
+T 0 2500 5 10 0 0 0 0 1
+pintype=unknown
+T 355 6295 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 2545 5 10 1 1 0 6 1
+pinnumber=10
+T 0 2500 5 10 0 0 0 0 1
+pinseq=0
+}
+B 300 0 400 6200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 255 6295 8 10 1 1 0 0 1
+refdes=J?
+P 0 2100 300 2100 1 0 0
+{
+T 0 2100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 2095 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 2145 5 10 1 1 0 6 1
+pinnumber=11
+T 0 2100 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1700 300 1700 1 0 0
+{
+T 0 1700 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1695 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 1745 5 10 1 1 0 6 1
+pinnumber=12
+T 0 1700 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1300 300 1300 1 0 0
+{
+T 0 1300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1295 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 1345 5 10 1 1 0 6 1
+pinnumber=13
+T 0 1300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 900 300 900 1 0 0
+{
+T 0 900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 945 5 10 1 1 0 6 1
+pinnumber=14
+T 0 900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 500 300 500 1 0 0
+{
+T 0 500 5 10 0 0 0 0 1
+pintype=unknown
+T 355 495 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 545 5 10 1 1 0 6 1
+pinnumber=15
+T 0 500 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 100 300 100 1 0 0
+{
+T 0 100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 95 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 145 5 10 1 1 0 6 1
+pinnumber=16
+T 0 100 5 10 0 0 0 0 1
+pinseq=0
+}
diff --git a/symbols/conn-4.sym b/symbols/conn-4.sym
new file mode 100644 (file)
index 0000000..4b5c7ac
--- /dev/null
@@ -0,0 +1,48 @@
+v 20080127 1
+P 0 1300 300 1300 1 0 0
+{
+T 0 1300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1295 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 1345 5 10 1 1 0 6 1
+pinnumber=1
+T 0 1300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 900 300 900 1 0 0
+{
+T 0 900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 945 5 10 1 1 0 6 1
+pinnumber=2
+T 0 900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 500 300 500 1 0 0
+{
+T 0 500 5 10 0 0 0 0 1
+pintype=unknown
+T 155 895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 545 5 10 1 1 0 6 1
+pinnumber=3
+T 0 500 5 10 0 0 0 0 1
+pinseq=0
+}
+B 300 0 400 1500 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 300 1600 8 10 1 1 0 0 1
+refdes=J?
+P 0 100 300 100 1 0 0
+{
+T 0 100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 95 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 145 5 10 1 1 0 6 1
+pinnumber=4
+T 0 100 5 10 0 0 0 0 1
+pinseq=0
+}
diff --git a/symbols/conn-8.sym b/symbols/conn-8.sym
new file mode 100644 (file)
index 0000000..562300c
--- /dev/null
@@ -0,0 +1,92 @@
+v 20080127 1
+P 0 2900 300 2900 1 0 0
+{
+T 0 2900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 2895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 2945 5 10 1 1 0 6 1
+pinnumber=1
+T 0 2900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 2500 300 2500 1 0 0
+{
+T 0 2500 5 10 0 0 0 0 1
+pintype=unknown
+T 355 2495 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 2545 5 10 1 1 0 6 1
+pinnumber=2
+T 0 2500 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 2100 300 2100 1 0 0
+{
+T 0 2100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 2095 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 2145 5 10 1 1 0 6 1
+pinnumber=3
+T 0 2100 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1700 300 1700 1 0 0
+{
+T 0 1700 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1695 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 1745 5 10 1 1 0 6 1
+pinnumber=4
+T 0 1700 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 1300 300 1300 1 0 0
+{
+T 0 1300 5 10 0 0 0 0 1
+pintype=unknown
+T 355 1295 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 1345 5 10 1 1 0 6 1
+pinnumber=5
+T 0 1300 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 900 300 900 1 0 0
+{
+T 0 900 5 10 0 0 0 0 1
+pintype=unknown
+T 355 895 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 945 5 10 1 1 0 6 1
+pinnumber=6
+T 0 900 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 500 300 500 1 0 0
+{
+T 0 500 5 10 0 0 0 0 1
+pintype=unknown
+T 355 495 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 545 5 10 1 1 0 6 1
+pinnumber=7
+T 0 500 5 10 0 0 0 0 1
+pinseq=0
+}
+P 0 100 300 100 1 0 0
+{
+T 0 100 5 10 0 0 0 0 1
+pintype=unknown
+T 355 95 5 10 0 1 0 0 1
+pinlabel=unknown
+T 205 145 5 10 1 1 0 6 1
+pinnumber=8
+T 0 100 5 10 0 0 0 0 1
+pinseq=0
+}
+B 300 0 400 3000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 355 3095 8 10 1 1 0 0 1
+refdes=J?
diff --git a/symbols/gnd.sym b/symbols/gnd.sym
new file mode 100644 (file)
index 0000000..bf1a500
--- /dev/null
@@ -0,0 +1,17 @@
+v 20031231 1
+P 100 100 100 300 1 0 1
+{
+T 158 161 5 4 0 1 0 0 1
+pinnumber=1
+T 158 161 5 4 0 0 0 0 1
+pinseq=1
+T 158 161 5 4 0 1 0 0 1 
+pinlabel=1
+T 158 161 5 4 0 1 0 0 1 
+pintype=pwr
+}
+L 0 100 200 100 3 0 0 0 -1 -1
+L 55 50 145 50 3 0 0 0 -1 -1
+L 80 10 120 10 3 0 0 0 -1 -1
+T 300 50 8 10 0 0 0 0 1
+net=GND:1
diff --git a/symbols/inductor.sym b/symbols/inductor.sym
new file mode 100644 (file)
index 0000000..0560dee
--- /dev/null
@@ -0,0 +1,42 @@
+v 20050820 1
+P 900 100 750 100 1 0 0
+{
+T 800 150 5 8 0 1 0 0 1
+pinnumber=2
+T 800 50 5 8 0 1 0 2 1
+pinseq=2
+T 700 100 9 8 0 1 0 6 1
+pinlabel=2
+T 700 100 5 8 0 1 0 8 1
+pintype=pas
+}
+P 0 100 150 100 1 0 0
+{
+T 100 150 5 8 0 1 0 6 1
+pinnumber=1
+T 100 50 5 8 0 1 0 8 1
+pinseq=1
+T 200 100 9 8 0 1 0 0 1
+pinlabel=1
+T 200 100 5 8 0 1 0 2 1
+pintype=pas
+}
+A 237 100 75 0 180 3 0 0 0 -1 -1
+A 379 100 75 0 180 3 0 0 0 -1 -1
+A 521 100 75 0 180 3 0 0 0 -1 -1
+A 663 100 75 0 180 3 0 0 0 -1 -1
+T 200 500 5 10 0 0 0 0 1
+device=INDUCTOR
+L 738 100 750 100 3 0 0 0 -1 -1
+L 150 100 162 100 3 0 0 0 -1 -1
+A 308 100 4 180 180 3 0 0 0 -1 -1
+A 450 100 4 180 180 3 0 0 0 -1 -1
+A 592 100 4 180 180 3 0 0 0 -1 -1
+T 200 300 8 10 1 1 0 0 1
+refdes=L?
+T 200 1100 5 10 0 0 0 0 1
+description=inductor
+T 200 900 5 10 0 0 0 0 1
+numslots=0
+T 200 700 5 10 0 0 0 0 1
+symversion=0.1
diff --git a/symbols/led2.sym b/symbols/led2.sym
new file mode 100644 (file)
index 0000000..1959f07
--- /dev/null
@@ -0,0 +1,71 @@
+v 20080127 1
+T -50 800 8 10 1 1 0 0 1
+refdes=D?
+T -100 600 8 10 0 0 0 0 1
+device=LED
+P 0 100 150 100 1 0 0
+{
+T 100 150 5 8 0 1 0 0 1
+pinnumber=2
+T 100 150 5 8 0 0 0 0 1
+pinseq=2
+T 100 150 5 8 0 1 0 0 1
+pinlabel=2
+T 100 150 5 8 0 1 0 0 1
+pintype=pas
+}
+P 400 100 250 100 1 0 0
+{
+T 200 150 5 8 0 1 0 0 1
+pinnumber=4
+T 200 150 5 8 0 0 0 0 1
+pinseq=4
+T 200 150 5 8 0 1 0 0 1
+pinlabel=4
+T 200 150 5 8 0 1 0 0 1
+pintype=pas
+}
+L 150 200 250 100 3 0 0 0 -1 -1
+L 250 100 150 0 3 0 0 0 -1 -1
+L 150 200 150 0 3 0 0 0 -1 -1
+L 250 200 250 0 3 0 0 0 -1 -1
+L 180 240 280 340 3 0 0 0 -1 -1
+L 280 340 230 310 3 0 0 0 -1 -1
+L 280 340 250 290 3 0 0 0 -1 -1
+L 250 240 350 340 3 0 0 0 -1 -1
+L 350 340 300 310 3 0 0 0 -1 -1
+L 350 340 320 290 3 0 0 0 -1 -1
+T -50 0 8 10 0 1 0 0 1
+footprint=0605
+P 0 500 150 500 1 0 0
+{
+T 100 550 5 8 0 1 0 0 1
+pinnumber=1
+T 100 550 5 8 0 0 0 0 1
+pinseq=1
+T 100 550 5 8 0 1 0 0 1
+pinlabel=1
+T 100 550 5 8 0 1 0 0 1
+pintype=pas
+}
+P 400 500 250 500 1 0 0
+{
+T 200 550 5 8 0 1 0 0 1
+pinnumber=3
+T 200 550 5 8 0 0 0 0 1
+pinseq=3
+T 200 550 5 8 0 1 0 0 1
+pinlabel=3
+T 200 550 5 8 0 1 0 0 1
+pintype=pas
+}
+L 150 600 250 500 3 0 0 0 -1 -1
+L 250 500 150 400 3 0 0 0 -1 -1
+L 150 600 150 400 3 0 0 0 -1 -1
+L 250 600 250 400 3 0 0 0 -1 -1
+L 180 640 280 740 3 0 0 0 -1 -1
+L 280 740 230 710 3 0 0 0 -1 -1
+L 280 740 250 690 3 0 0 0 -1 -1
+L 250 640 350 740 3 0 0 0 -1 -1
+L 350 740 300 710 3 0 0 0 -1 -1
+L 350 740 320 690 3 0 0 0 -1 -1
diff --git a/symbols/resistor.sym b/symbols/resistor.sym
new file mode 100644 (file)
index 0000000..5df60c5
--- /dev/null
@@ -0,0 +1,38 @@
+v 20070902 1
+L 600 200 500 0 3 0 0 0 -1 -1
+L 500 0 400 200 3 0 0 0 -1 -1
+L 400 200 300 0 3 0 0 0 -1 -1
+L 300 0 200 200 3 0 0 0 -1 -1
+T 300 400 5 10 0 0 0 0 1
+device=RESISTOR
+L 600 200 700 0 3 0 0 0 -1 -1
+L 700 0 750 100 3 0 0 0 -1 -1
+P 900 100 750 100 1 0 0
+{
+T 800 150 5 8 0 1 0 0 1
+pinnumber=2
+T 800 150 5 8 0 0 0 0 1
+pinseq=2
+T 800 150 5 8 0 1 0 0 1
+pinlabel=2
+T 800 150 5 8 0 1 0 0 1
+pintype=pas
+}
+P 0 100 152 100 1 0 0
+{
+T 100 150 5 8 0 1 0 0 1
+pinnumber=1
+T 100 150 5 8 0 0 0 0 1
+pinseq=1
+T 100 150 5 8 0 1 0 0 1
+pinlabel=1
+T 100 150 5 8 0 1 0 0 1
+pintype=pas
+}
+L 201 200 150 100 3 0 0 0 -1 -1
+T 200 300 8 10 1 1 0 0 1
+refdes=R?
+T 0 0 8 10 0 1 0 0 1
+pins=2
+T 0 0 8 10 0 1 0 0 1
+class=DISCRETE
diff --git a/symbols/title-E-bdale.sym b/symbols/title-E-bdale.sym
new file mode 100644 (file)
index 0000000..78a083b
--- /dev/null
@@ -0,0 +1,20 @@
+v 20070902 1
+B 0 0 44000 34000 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 26500 1800 5 10 0 0 0 0 1
+graphical=1
+T 36500 400 15 8 1 0 0 0 1
+FILE:
+T 41500 400 15 8 1 0 0 0 1
+REVISION:
+T 39400 400 15 8 1 0 0 0 1
+PAGE
+T 40200 400 15 8 1 0 0 0 1
+OF
+B 36400 0 7600 2700 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+L 36400 600 44000 600 15 0 0 0 -1 -1
+T 36500 100 15 10 1 0 0 0 1
+Project URL:
+T 36900 800 9 10 1 0 0 0 2
+                Copyright 2012 by Bdale Garbee <bdale@gag.com>
+Licensed under the TAPR Open Hardware License, http://www.tapr.org/OHL
+L 36400 1400 44000 1400 15 0 0 0 -1 -1