* doc/sdccman.lyx:
authorborutr <borutr@4a8a32a2-be11-0410-ad9d-d568d2c75423>
Mon, 30 Apr 2007 18:14:17 +0000 (18:14 +0000)
committerborutr <borutr@4a8a32a2-be11-0410-ad9d-d568d2c75423>
Mon, 30 Apr 2007 18:14:17 +0000 (18:14 +0000)
  fixed bug #1669175: Problem with space in output paths
  documented how to use paths with spaces for Windows users

git-svn-id: https://sdcc.svn.sourceforge.net/svnroot/sdcc/trunk/sdcc@4782 4a8a32a2-be11-0410-ad9d-d568d2c75423

ChangeLog
doc/sdccman.lyx
sim/ucsim/cmd.src/FlexLexer.h [new file with mode: 0644]

index 08bb2a81962ce9e05672c5b349a29594b663b70d..6bd0cf01a9cd30862853b921d7e78775442991e7 100644 (file)
--- a/ChangeLog
+++ b/ChangeLog
@@ -1,3 +1,9 @@
+2007-04-30 Borut Razem <borut.razem AT siol.net>
+
+       * doc/sdccman.lyx:
+         fixed bug #1669175: Problem with space in output paths
+         documented how to use paths with spaces for Windows users
+
 2007-04-29 Borut Razem <borut.razem AT siol.net>
 
        * Fixed svn:eol-style and svn:keywords properties
index 074ccaeb6e68abd63b71e1edda2ef4fba13c34d2..12c56e8d9be51ea0effafd2b43463bb9fd9808ab 100644 (file)
@@ -9031,11 +9031,74 @@ status collapsed
 
  
 \series default
-The output path resp.
file where everything will be placed.
+The output path where everything will be placed or the file name used for
all generated output files.
  If the parameter is a path, it must have a trailing slash (or backslash
  for the Windows binaries) to be recognized as a path.
+
+\emph on
  
+\emph default
+Note for Windows users: if the path contains spaces, it should be surrounded
+ by quotes.
+ The trailing backslash should be doubled in order to prevent escaping the
+ final quote, for example: 
+\emph on
+-o 
+\begin_inset Quotes sld
+\end_inset
+
+F:
+\backslash
+Projects
+\backslash
+test3
+\backslash
+output 1
+\backslash
+
+\backslash
+
+\begin_inset Quotes srd
+\end_inset
+
+
+\emph default
+ or put after the final quote, for example: 
+\emph on
+-o 
+\begin_inset Quotes sld
+\end_inset
+
+F:
+\backslash
+Projects
+\backslash
+test3
+\backslash
+output 1
+\begin_inset Quotes srd
+\end_inset
+
+
+\backslash
+
+\emph default
+.
+ The path using slashes for directory delimiters can be used too, for example:
+\emph on
+-o 
+\begin_inset Quotes sld
+\end_inset
+
+F:/Projects/test3/output 1/
+\begin_inset Quotes srd
+\end_inset
+
+
+\emph default
+.
 \end_layout
 
 \begin_layout List
diff --git a/sim/ucsim/cmd.src/FlexLexer.h b/sim/ucsim/cmd.src/FlexLexer.h
new file mode 100644 (file)
index 0000000..e69de29