target: Add test bench for memory access functions