altos: Add delays to bt startup sequence
[fw/altos] / src / ao_flash.c
index 153015c62ef53e118cffa31e98d3ad8fc06b254b..d323926fe209d310c2e27f3382259709664e9752 100644 (file)
 #include "ao.h"
 #include "at45db161d.h"
 
-/*
- * Using SPI on USART 0, with P1_1 as the chip select
- */
+/* Total bytes of available storage */
+__xdata uint32_t       ao_storage_total;
+
+/* Block size - device is erased in these units. At least 256 bytes */
+__xdata uint32_t       ao_storage_block;
+
+/* Byte offset of config block. Will be ao_storage_block bytes long */
+__xdata uint32_t       ao_storage_config;
+
+/* Storage unit size - device reads and writes must be within blocks of this size. Usually 256 bytes. */
+__xdata uint16_t       ao_storage_unit;
 
 #define FLASH_CS               P1_1
 #define FLASH_CS_INDEX         1
 
-__xdata uint8_t ao_flash_dma_in_done;
-__xdata uint8_t ao_flash_dma_out_done;
-__xdata uint8_t ao_flash_mutex;
-
-uint8_t        ao_flash_dma_out_id;
-uint8_t ao_flash_dma_in_id;
+#define FLASH_BLOCK_SIZE_MAX   512
 
-static __xdata uint8_t ao_flash_const = 0xff;
+__xdata uint8_t ao_flash_mutex;
 
 #define ao_flash_delay() do { \
        _asm nop _endasm; \
@@ -54,83 +57,6 @@ void ao_flash_cs_high(void)
        ao_flash_delay();
 }
 
-/* Send bytes over SPI.
- *
- * This sets up two DMA engines, one writing the data and another reading
- * bytes coming back.  We use the bytes coming back to tell when the transfer
- * is complete, as the transmit register is double buffered and hence signals
- * completion one byte before the transfer is actually complete
- */
-static void
-ao_flash_send(void __xdata *block, uint16_t len)
-{
-       ao_dma_set_transfer(ao_flash_dma_in_id,
-                           &U0DBUFXADDR,
-                           &ao_flash_const,
-                           len,
-                           DMA_CFG0_WORDSIZE_8 |
-                           DMA_CFG0_TMODE_SINGLE |
-                           DMA_CFG0_TRIGGER_URX0,
-                           DMA_CFG1_SRCINC_0 |
-                           DMA_CFG1_DESTINC_0 |
-                           DMA_CFG1_PRIORITY_NORMAL);
-
-       ao_dma_set_transfer(ao_flash_dma_out_id,
-                           block,
-                           &U0DBUFXADDR,
-                           len,
-                           DMA_CFG0_WORDSIZE_8 |
-                           DMA_CFG0_TMODE_SINGLE |
-                           DMA_CFG0_TRIGGER_UTX0,
-                           DMA_CFG1_SRCINC_1 |
-                           DMA_CFG1_DESTINC_0 |
-                           DMA_CFG1_PRIORITY_NORMAL);
-
-       ao_dma_start(ao_flash_dma_in_id);
-       ao_dma_start(ao_flash_dma_out_id);
-       ao_dma_trigger(ao_flash_dma_out_id);
-       __critical while (!ao_flash_dma_in_done)
-               ao_sleep(&ao_flash_dma_in_done);
-}
-
-/* Receive bytes over SPI.
- *
- * This sets up tow DMA engines, one reading the data and another
- * writing constant values to the SPI transmitter as that is what
- * clocks the data coming in.
- */
-static void
-ao_flash_recv(void __xdata *block, uint16_t len)
-{
-       ao_dma_set_transfer(ao_flash_dma_in_id,
-                           &U0DBUFXADDR,
-                           block,
-                           len,
-                           DMA_CFG0_WORDSIZE_8 |
-                           DMA_CFG0_TMODE_SINGLE |
-                           DMA_CFG0_TRIGGER_URX0,
-                           DMA_CFG1_SRCINC_0 |
-                           DMA_CFG1_DESTINC_1 |
-                           DMA_CFG1_PRIORITY_NORMAL);
-
-       ao_dma_set_transfer(ao_flash_dma_out_id,
-                           &ao_flash_const,
-                           &U0DBUFXADDR,
-                           len,
-                           DMA_CFG0_WORDSIZE_8 |
-                           DMA_CFG0_TMODE_SINGLE |
-                           DMA_CFG0_TRIGGER_UTX0,
-                           DMA_CFG1_SRCINC_0 |
-                           DMA_CFG1_DESTINC_0 |
-                           DMA_CFG1_PRIORITY_NORMAL);
-
-       ao_dma_start(ao_flash_dma_in_id);
-       ao_dma_start(ao_flash_dma_out_id);
-       ao_dma_trigger(ao_flash_dma_out_id);
-       __critical while (!ao_flash_dma_in_done)
-               ao_sleep(&ao_flash_dma_in_done);
-}
-
 struct ao_flash_instruction {
        uint8_t instruction;
        uint8_t address[3];
@@ -144,7 +70,7 @@ ao_flash_set_pagesize_512(void)
        ao_flash_instruction.address[0] = FLASH_SET_512_BYTE_0;
        ao_flash_instruction.address[1] = FLASH_SET_512_BYTE_1;
        ao_flash_instruction.address[2] = FLASH_SET_512_BYTE_2;
-       ao_flash_send(&ao_flash_instruction, 4);
+       ao_spi_send(&ao_flash_instruction, 4);
        ao_flash_cs_high();
 }
 
@@ -154,8 +80,8 @@ ao_flash_read_status(void)
 {
        ao_flash_cs_low();
        ao_flash_instruction.instruction = FLASH_READ_STATUS;
-       ao_flash_send(&ao_flash_instruction, 1);
-       ao_flash_recv(&ao_flash_instruction, 1);
+       ao_spi_send(&ao_flash_instruction, 1);
+       ao_spi_recv(&ao_flash_instruction, 1);
        ao_flash_cs_high();
        return ao_flash_instruction.instruction;
 }
@@ -167,11 +93,12 @@ static __pdata uint16_t ao_flash_block = FLASH_BLOCK_NONE;
 static __pdata uint8_t ao_flash_block_dirty;
 static __pdata uint8_t  ao_flash_write_pending;
 static __pdata uint8_t ao_flash_setup_done;
-static __data uint32_t ao_flash_device_size;
-static __data uint8_t  ao_flash_block_shift;
+static __pdata uint8_t ao_flash_block_shift;
+static __pdata uint16_t        ao_flash_block_size;
+static __pdata uint16_t        ao_flash_block_mask;
 
-static void
-ao_flash_setup(void)
+void
+ao_storage_setup(void) __reentrant
 {
        uint8_t status;
 
@@ -183,7 +110,6 @@ ao_flash_setup(void)
                ao_mutex_put(&ao_flash_mutex);
                return;
        }
-       ao_flash_setup_done = 1;
 
        /* On first use, check to see if the flash chip has
         * been programmed to use 512 byte pages. If not, do so.
@@ -202,42 +128,50 @@ ao_flash_setup(void)
        /* AT45DB321D */
        case 0x34:
                ao_flash_block_shift = 9;
-               ao_flash_device_size = ((uint32_t) 4 * (uint32_t) 1024 * (uint32_t) 1024);
+               ao_storage_total = ((uint32_t) 4 * (uint32_t) 1024 * (uint32_t) 1024);
                break;
 
        /* AT45DB161D */
        case 0x2c:
                ao_flash_block_shift = 9;
-               ao_flash_device_size = ((uint32_t) 2 * (uint32_t) 1024 * (uint32_t) 1024);
+               ao_storage_total = ((uint32_t) 2 * (uint32_t) 1024 * (uint32_t) 1024);
                break;
 
        /* AT45DB081D */
        case 0x24:
                ao_flash_block_shift = 8;
-               ao_flash_device_size = ((uint32_t) 1024 * (uint32_t) 1024);
+               ao_storage_total = ((uint32_t) 1024 * (uint32_t) 1024);
                break;
 
        /* AT45DB041D */
        case 0x1c:
                ao_flash_block_shift = 8;
-               ao_flash_device_size = ((uint32_t) 512 * (uint32_t) 1024);
+               ao_storage_total = ((uint32_t) 512 * (uint32_t) 1024);
                break;
 
        /* AT45DB021D */
        case 0x14:
                ao_flash_block_shift = 8;
-               ao_flash_device_size = ((uint32_t) 256 * (uint32_t) 1024);
+               ao_storage_total = ((uint32_t) 256 * (uint32_t) 1024);
                break;
 
        /* AT45DB011D */
        case 0x0c:
                ao_flash_block_shift = 8;
-               ao_flash_device_size = ((uint32_t) 128 * (uint32_t) 1024);
+               ao_storage_total = ((uint32_t) 128 * (uint32_t) 1024);
                break;
 
        default:
                ao_panic(AO_PANIC_FLASH);
        }
+       ao_flash_block_size = 1 << ao_flash_block_shift;
+       ao_flash_block_mask = ao_flash_block_size - 1;
+
+       ao_storage_block = ao_flash_block_size;
+       ao_storage_config = ao_storage_total - ao_storage_block;
+       ao_storage_unit = ao_flash_block_size;
+
+       ao_flash_setup_done = 1;
        ao_mutex_put(&ao_flash_mutex);
 }
 
@@ -266,8 +200,8 @@ ao_flash_write_block(void)
        ao_flash_instruction.address[0] = ao_flash_block >> (16 - ao_flash_block_shift);
        ao_flash_instruction.address[1] = ao_flash_block << (ao_flash_block_shift - 8);
        ao_flash_instruction.address[2] = 0;
-       ao_flash_send(&ao_flash_instruction, 4);
-       ao_flash_send(ao_flash_data, FLASH_BLOCK_SIZE);
+       ao_spi_send(&ao_flash_instruction, 4);
+       ao_spi_send(ao_flash_data, ao_storage_block);
        ao_flash_cs_high();
        ao_flash_write_pending = 1;
 }
@@ -284,8 +218,8 @@ ao_flash_read_block(void)
        ao_flash_instruction.address[0] = ao_flash_block >> (16 - ao_flash_block_shift);
        ao_flash_instruction.address[1] = ao_flash_block << (ao_flash_block_shift - 8);
        ao_flash_instruction.address[2] = 0;
-       ao_flash_send(&ao_flash_instruction, 4);
-       ao_flash_recv(ao_flash_data, FLASH_BLOCK_SIZE);
+       ao_spi_send(&ao_flash_instruction, 4);
+       ao_spi_recv(ao_flash_data, ao_flash_block_size);
        ao_flash_cs_high();
 }
 
@@ -309,240 +243,86 @@ ao_flash_fill(uint16_t block)
 }
 
 uint8_t
-ao_ee_write(uint32_t pos, uint8_t *buf, uint16_t len) __reentrant
+ao_storage_device_write(uint32_t pos, __xdata void *buf, uint16_t len) __reentrant
 {
-       uint16_t block;
-       uint16_t this_len;
-       uint16_t        this_off;
-
-       ao_flash_setup();
-       if (pos >= FLASH_DATA_SIZE || pos + len > FLASH_DATA_SIZE)
-               return 0;
-       while (len) {
-
-               /* Compute portion of transfer within
-                * a single block
-                */
-               this_off = (uint16_t) pos & FLASH_BLOCK_MASK;
-               this_len = FLASH_BLOCK_SIZE - this_off;
-               block = (uint16_t) (pos >> FLASH_BLOCK_SHIFT);
-               if (this_len > len)
-                       this_len = len;
-
-               /* Transfer the data */
-               ao_mutex_get(&ao_flash_mutex); {
-                       if (this_len != FLASH_BLOCK_SIZE)
-                               ao_flash_fill(block);
-                       else {
-                               ao_flash_flush_internal();
-                               ao_flash_block = block;
-                       }
-                       memcpy(ao_flash_data + this_off, buf, this_len);
-                       ao_flash_block_dirty = 1;
-               } ao_mutex_put(&ao_flash_mutex);
-
-               /* See how much is left */
-               buf += this_len;
-               len -= this_len;
-               pos += this_len;
-       }
+       uint16_t block = (uint16_t) (pos >> ao_flash_block_shift);
+
+       /* Transfer the data */
+       ao_mutex_get(&ao_flash_mutex); {
+               if (len != ao_flash_block_size)
+                       ao_flash_fill(block);
+               else {
+                       ao_flash_flush_internal();
+                       ao_flash_block = block;
+               }
+               memcpy(ao_flash_data + (uint16_t) (pos & ao_flash_block_mask),
+                      buf,
+                      len);
+               ao_flash_block_dirty = 1;
+       } ao_mutex_put(&ao_flash_mutex);
        return 1;
 }
 
 uint8_t
-ao_ee_read(uint32_t pos, uint8_t *buf, uint16_t len) __reentrant
+ao_storage_device_read(uint32_t pos, __xdata void *buf, uint16_t len) __reentrant
 {
-       uint16_t block;
-       uint16_t this_len;
-       uint16_t this_off;
-
-       ao_flash_setup();
-       if (pos >= FLASH_DATA_SIZE || pos + len > FLASH_DATA_SIZE)
-               return 0;
-       while (len) {
-
-
-               /* Compute portion of transfer within
-                * a single block
-                */
-               this_off = (uint16_t) pos & FLASH_BLOCK_MASK;
-               this_len = FLASH_BLOCK_SIZE - this_off;
-               block = (uint16_t) (pos >> FLASH_BLOCK_SHIFT);
-               if (this_len > len)
-                       this_len = len;
-
-               /* Transfer the data */
-               ao_mutex_get(&ao_flash_mutex); {
-                       ao_flash_fill(block);
-                       memcpy(buf, ao_flash_data + this_off, this_len);
-               } ao_mutex_put(&ao_flash_mutex);
+       uint16_t block = (uint16_t) (pos >> ao_flash_block_shift);
 
-               /* See how much is left */
-               buf += this_len;
-               len -= this_len;
-               pos += this_len;
-       }
+       /* Transfer the data */
+       ao_mutex_get(&ao_flash_mutex); {
+               ao_flash_fill(block);
+               memcpy(buf,
+                      ao_flash_data + (uint16_t) (pos & ao_flash_block_mask),
+                      len);
+       } ao_mutex_put(&ao_flash_mutex);
        return 1;
 }
 
 void
-ao_ee_flush(void) __reentrant
+ao_storage_flush(void) __reentrant
 {
        ao_mutex_get(&ao_flash_mutex); {
                ao_flash_flush_internal();
        } ao_mutex_put(&ao_flash_mutex);
 }
 
-/*
- * Read/write the config block, which is in
- * the last block of the flash
- */
 uint8_t
-ao_ee_write_config(uint8_t *buf, uint16_t len) __reentrant
+ao_storage_erase(uint32_t pos) __reentrant
 {
-       ao_flash_setup();
-       if (len > FLASH_BLOCK_SIZE)
-               return 0;
        ao_mutex_get(&ao_flash_mutex); {
-               ao_flash_fill(FLASH_CONFIG_BLOCK);
-               memcpy(ao_flash_data, buf, len);
-               ao_flash_block_dirty = 1;
                ao_flash_flush_internal();
+               ao_flash_block = (uint16_t) (pos >> ao_flash_block_shift);
+               memset(ao_flash_data, 0xff, ao_flash_block_size);
+               ao_flash_block_dirty = 1;
        } ao_mutex_put(&ao_flash_mutex);
        return 1;
 }
 
-uint8_t
-ao_ee_read_config(uint8_t *buf, uint16_t len) __reentrant
-{
-       ao_flash_setup();
-       if (len > FLASH_BLOCK_SIZE)
-               return 0;
-       ao_mutex_get(&ao_flash_mutex); {
-               ao_flash_fill(FLASH_CONFIG_BLOCK);
-               memcpy(buf, ao_flash_data, len);
-       } ao_mutex_put(&ao_flash_mutex);
-       return 1;
-}
-
-static void
-flash_dump(void) __reentrant
-{
-       uint8_t b;
-       uint16_t block;
-       uint8_t i;
-
-       ao_cmd_hex();
-       block = ao_cmd_lex_i;
-       if (ao_cmd_status != ao_cmd_success)
-               return;
-       i = 0;
-       do {
-               if ((i & 7) == 0) {
-                       if (i)
-                               putchar('\n');
-                       ao_cmd_put16((uint16_t) i);
-               }
-               putchar(' ');
-               ao_ee_read(((uint32_t) block << 8) | i, &b, 1);
-               ao_cmd_put8(b);
-               ++i;
-       } while (i != 0);
-       putchar('\n');
-}
-
-static void
-flash_store(void) __reentrant
-{
-       uint16_t block;
-       uint8_t i;
-       uint16_t len;
-       uint8_t b;
-       uint32_t addr;
-
-       ao_cmd_hex();
-       block = ao_cmd_lex_i;
-       ao_cmd_hex();
-       i = ao_cmd_lex_i;
-       addr = ((uint32_t) block << 8) | i;
-       ao_cmd_hex();
-       len = ao_cmd_lex_i;
-       if (ao_cmd_status != ao_cmd_success)
-               return;
-       while (len--) {
-               ao_cmd_hex();
-               if (ao_cmd_status != ao_cmd_success)
-                       return;
-               b = ao_cmd_lex_i;
-               ao_ee_write(addr, &b, 1);
-               addr++;
-       }
-       ao_ee_flush();
-}
-
-static void
-flash_status(void) __reentrant
+void
+ao_storage_device_info(void) __reentrant
 {
        uint8_t status;
 
+       ao_storage_setup();
        ao_mutex_get(&ao_flash_mutex); {
                status = ao_flash_read_status();
                printf ("Flash status: 0x%02x\n", status);
+               printf ("Flash block shift: %d\n", ao_flash_block_shift);
+               printf ("Flash block size: %d\n", ao_flash_block_size);
+               printf ("Flash block mask: %d\n", ao_flash_block_mask);
+               printf ("Flash device size: %ld\n", ao_storage_total);
        } ao_mutex_put(&ao_flash_mutex);
 }
 
-__code struct ao_cmds ao_flash_cmds[] = {
-       { 'e', flash_dump,      "e <block>                          Dump a block of flash data" },
-       { 'w', flash_store,     "w <block> <start> <len> <data> ... Write data to flash" },
-       { 'f', flash_status,    "f                                  Show flash status register" },
-       { 0,   flash_store, NULL },
-};
-
 /*
  * To initialize the chip, set up the CS line and
  * the SPI interface
  */
 void
-ao_ee_init(void)
+ao_storage_device_init(void)
 {
        /* set up CS */
        FLASH_CS = 1;
        P1DIR |= (1 << FLASH_CS_INDEX);
        P1SEL &= ~(1 << FLASH_CS_INDEX);
-
-       /* Set up the USART pin assignment */
-       PERCFG = (PERCFG & ~PERCFG_U0CFG_ALT_MASK) | PERCFG_U0CFG_ALT_2;
-
-       /* Ensure that USART0 takes precidence over USART1 for pins that
-        * they share
-        */
-       P2SEL = (P2SEL & ~P2SEL_PRI3P1_MASK) | P2SEL_PRI3P1_USART0;
-
-       /* Make the SPI pins be controlled by the USART peripheral */
-       P1SEL |= ((1 << 5) | (1 << 4) | (1 << 3));
-
-       /* Set up OUT DMA */
-       ao_flash_dma_out_id = ao_dma_alloc(&ao_flash_dma_out_done);
-
-       /* Set up IN DMA */
-       ao_flash_dma_in_id = ao_dma_alloc(&ao_flash_dma_in_done);
-
-       /* Set up the USART.
-        *
-        * SPI master mode
-        */
-       U0CSR = (UxCSR_MODE_SPI | UxCSR_RE | UxCSR_MASTER);
-
-       /* Set the baud rate and signal parameters
-        *
-        * The cc1111 is limited to a 24/8 MHz SPI clock,
-        * while the at45db161d.h is limited to 20MHz. So,
-        * use the 3MHz clock (BAUD_E 17, BAUD_M 0)
-        */
-       U0BAUD = 0;
-       U0GCR = (UxGCR_CPOL_NEGATIVE |
-                UxGCR_CPHA_FIRST_EDGE |
-                UxGCR_ORDER_MSB |
-                (17 << UxGCR_BAUD_E_SHIFT));
-       ao_cmd_register(&ao_flash_cmds[0]);
 }