updated wiki url
[debian/gnuradio] / usrp2 / fpga / sdr_lib / add2_and_round.v
1
2 module add2_and_round
3   #(parameter WIDTH=16)
4     (input [WIDTH-1:0] in1,
5      input [WIDTH-1:0] in2,
6      output [WIDTH-1:0] sum);
7
8    wire [WIDTH:0]       sum_int = {in1[WIDTH-1],in1} + {in2[WIDTH-1],in2};
9    assign               sum = sum_int[WIDTH:1] + (sum_int[WIDTH] & sum_int[0]);
10    
11 endmodule // add2_and_round