updated wiki url
[debian/gnuradio] / usrp2 / fpga / control_lib / sd_spi_tb.v
1
2
3 module sd_spi_tb;
4
5    reg clk = 0;
6    always #5 clk = ~clk;
7    reg rst = 1;
8    initial #32 rst = 0;
9
10    wire sd_clk, sd_mosi, sd_miso;
11    wire [7:0] clk_div = 12;
12    wire [7:0] send_dat = 23;
13    wire [7:0] rcv_dat;
14
15    wire       ready;
16    reg        go = 0;
17    initial 
18      begin
19         repeat (100)
20           @(posedge clk);
21         go <= 1;
22         @(posedge clk);
23         go <= 0;
24      end
25    
26    sd_spi dut(.clk(clk),.rst(rst),
27               .sd_clk(sd_clk),.sd_mosi(sd_mosi),.sd_miso(sd_miso),
28               .clk_div(clk_div),.send_dat(send_dat),.rcv_dat(rcv_dat),
29               .go(go),.ready(ready) );
30
31    initial    
32      begin 
33         $dumpfile("sd_spi_tb.vcd");
34         $dumpvars(0,sd_spi_tb);
35      end
36
37    initial
38      #10000 $finish();
39    
40 endmodule // sd_spi_tb