Imported Upstream version 3.0
[debian/gnuradio] / usrp / fpga / sdr_lib / setting_reg.v
1
2
3 module setting_reg
4   ( input clock, input reset, input strobe, input wire [6:0] addr,
5     input wire [31:0] in, output reg [31:0] out, output reg changed);
6    parameter my_addr = 0;
7    
8    always @(posedge clock)
9      if(reset)
10        begin
11           out <= #1 32'd0;
12           changed <= #1 1'b0;
13        end
14      else
15        if(strobe & (my_addr==addr))
16          begin
17             out <= #1 in;
18             changed <= #1 1'b1;
19          end
20        else
21          changed <= #1 1'b0;
22    
23 endmodule // setting_reg