Imported Upstream version 3.0
[debian/gnuradio] / usrp / fpga / sdr_lib / rx_dcoffset.v
1
2
3 module rx_dcoffset (input clock, input enable, input reset, 
4                     input signed [15:0] adc_in, output signed [15:0] adc_out,
5                     input wire [6:0] serial_addr, input wire [31:0] serial_data, input serial_strobe);
6    parameter              MYADDR = 0;
7    
8    reg signed [31:0]             integrator;
9    wire signed [15:0]            scaled_integrator = integrator[31:16] + (integrator[31] & |integrator[15:0]);
10    assign                        adc_out = adc_in - scaled_integrator;
11
12    // FIXME do we need signed?
13    //FIXME  What do we do when clipping?
14    always @(posedge clock)
15      if(reset)
16        integrator <= #1 32'd0;
17      else if(serial_strobe & (MYADDR == serial_addr))
18        integrator <= #1 {serial_data[15:0],16'd0};
19      else if(enable)
20        integrator <= #1 integrator + adc_out;
21
22 endmodule // rx_dcoffset