Imported Upstream version 3.2.2
[debian/gnuradio] / usrp / fpga / megacells / fifo_4kx16_dc.v
1 // megafunction wizard: %FIFO%\r
2 // GENERATION: STANDARD\r
3 // VERSION: WM1.0\r
4 // MODULE: dcfifo \r
5 \r
6 // ============================================================\r
7 // File Name: fifo_4kx16_dc.v\r
8 // Megafunction Name(s):\r
9 //                      dcfifo\r
10 // ============================================================\r
11 // ************************************************************\r
12 // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
13 //\r
14 // 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
15 // ************************************************************\r
16 \r
17 \r
18 //Copyright (C) 1991-2006 Altera Corporation\r
19 //Your use of Altera Corporation's design tools, logic functions \r
20 //and other software and tools, and its AMPP partner logic \r
21 //functions, and any output files any of the foregoing \r
22 //(including device programming or simulation files), and any \r
23 //associated documentation or information are expressly subject \r
24 //to the terms and conditions of the Altera Program License \r
25 //Subscription Agreement, Altera MegaCore Function License \r
26 //Agreement, or other applicable license agreement, including, \r
27 //without limitation, that your use is for the sole purpose of \r
28 //programming logic devices manufactured by Altera and sold by \r
29 //Altera or its authorized distributors.  Please refer to the \r
30 //applicable agreement for further details.\r
31 \r
32 \r
33 // synopsys translate_off\r
34 `timescale 1 ps / 1 ps\r
35 // synopsys translate_on\r
36 module fifo_4kx16_dc (\r
37         aclr,\r
38         data,\r
39         rdclk,\r
40         rdreq,\r
41         wrclk,\r
42         wrreq,\r
43         q,\r
44         rdempty,\r
45         rdusedw,\r
46         wrfull,\r
47         wrusedw);\r
48 \r
49         input     aclr;\r
50         input   [15:0]  data;\r
51         input     rdclk;\r
52         input     rdreq;\r
53         input     wrclk;\r
54         input     wrreq;\r
55         output  [15:0]  q;\r
56         output    rdempty;\r
57         output  [11:0]  rdusedw;\r
58         output    wrfull;\r
59         output  [11:0]  wrusedw;\r
60 \r
61         wire  sub_wire0;\r
62         wire [11:0] sub_wire1;\r
63         wire  sub_wire2;\r
64         wire [15:0] sub_wire3;\r
65         wire [11:0] sub_wire4;\r
66         wire  rdempty = sub_wire0;\r
67         wire [11:0] wrusedw = sub_wire1[11:0];\r
68         wire  wrfull = sub_wire2;\r
69         wire [15:0] q = sub_wire3[15:0];\r
70         wire [11:0] rdusedw = sub_wire4[11:0];\r
71 \r
72         dcfifo  dcfifo_component (\r
73                                 .wrclk (wrclk),\r
74                                 .rdreq (rdreq),\r
75                                 .aclr (aclr),\r
76                                 .rdclk (rdclk),\r
77                                 .wrreq (wrreq),\r
78                                 .data (data),\r
79                                 .rdempty (sub_wire0),\r
80                                 .wrusedw (sub_wire1),\r
81                                 .wrfull (sub_wire2),\r
82                                 .q (sub_wire3),\r
83                                 .rdusedw (sub_wire4)\r
84                                 // synopsys translate_off\r
85                                 ,\r
86                                 .wrempty (),\r
87                                 .rdfull ()\r
88                                 // synopsys translate_on\r
89                                 );\r
90         defparam\r
91                 dcfifo_component.add_ram_output_register = "OFF",\r
92                 dcfifo_component.clocks_are_synchronized = "FALSE",\r
93                 dcfifo_component.intended_device_family = "Cyclone",\r
94                 dcfifo_component.lpm_numwords = 4096,\r
95                 dcfifo_component.lpm_showahead = "ON",\r
96                 dcfifo_component.lpm_type = "dcfifo",\r
97                 dcfifo_component.lpm_width = 16,\r
98                 dcfifo_component.lpm_widthu = 12,\r
99                 dcfifo_component.overflow_checking = "OFF",\r
100                 dcfifo_component.underflow_checking = "OFF",\r
101                 dcfifo_component.use_eab = "ON";\r
102 \r
103 \r
104 endmodule\r
105 \r
106 // ============================================================\r
107 // CNX file retrieval info\r
108 // ============================================================\r
109 // Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"\r
110 // Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"\r
111 // Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
112 // Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
113 // Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
114 // Retrieval info: PRIVATE: Clock NUMERIC "4"\r
115 // Retrieval info: PRIVATE: Depth NUMERIC "4096"\r
116 // Retrieval info: PRIVATE: Empty NUMERIC "1"\r
117 // Retrieval info: PRIVATE: Full NUMERIC "1"\r
118 // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
119 // Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
120 // Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"\r
121 // Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
122 // Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"\r
123 // Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
124 // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"\r
125 // Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"\r
126 // Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
127 // Retrieval info: PRIVATE: Width NUMERIC "16"\r
128 // Retrieval info: PRIVATE: dc_aclr NUMERIC "1"\r
129 // Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
130 // Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
131 // Retrieval info: PRIVATE: rsUsedW NUMERIC "1"\r
132 // Retrieval info: PRIVATE: sc_aclr NUMERIC "0"\r
133 // Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
134 // Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
135 // Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
136 // Retrieval info: PRIVATE: wsUsedW NUMERIC "1"\r
137 // Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
138 // Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"\r
139 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
140 // Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"\r
141 // Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"\r
142 // Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"\r
143 // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"\r
144 // Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"\r
145 // Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"\r
146 // Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"\r
147 // Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
148 // Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr\r
149 // Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]\r
150 // Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]\r
151 // Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk\r
152 // Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty\r
153 // Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
154 // Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL rdusedw[11..0]\r
155 // Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk\r
156 // Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull\r
157 // Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
158 // Retrieval info: USED_PORT: wrusedw 0 0 12 0 OUTPUT NODEFVAL wrusedw[11..0]\r
159 // Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0\r
160 // Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0\r
161 // Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
162 // Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
163 // Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0\r
164 // Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0\r
165 // Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0\r
166 // Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0\r
167 // Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0\r
168 // Retrieval info: CONNECT: wrusedw 0 0 12 0 @wrusedw 0 0 12 0\r
169 // Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
170 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
171 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.v TRUE\r
172 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.inc TRUE\r
173 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.cmp TRUE\r
174 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.bsf TRUE\r
175 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_inst.v TRUE\r
176 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_bb.v TRUE\r
177 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_waveforms.html FALSE\r
178 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_wave*.jpg FALSE\r