Imported Upstream version 3.2.2
[debian/gnuradio] / usrp / fpga / megacells / fifo_1kx16.v
1 // megafunction wizard: %FIFO%\r
2 // GENERATION: STANDARD\r
3 // VERSION: WM1.0\r
4 // MODULE: scfifo \r
5 \r
6 // ============================================================\r
7 // File Name: fifo_1kx16.v\r
8 // Megafunction Name(s):\r
9 //                      scfifo\r
10 // ============================================================\r
11 // ************************************************************\r
12 // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
13 //\r
14 // 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
15 // ************************************************************\r
16 \r
17 \r
18 //Copyright (C) 1991-2006 Altera Corporation\r
19 //Your use of Altera Corporation's design tools, logic functions \r
20 //and other software and tools, and its AMPP partner logic \r
21 //functions, and any output files any of the foregoing \r
22 //(including device programming or simulation files), and any \r
23 //associated documentation or information are expressly subject \r
24 //to the terms and conditions of the Altera Program License \r
25 //Subscription Agreement, Altera MegaCore Function License \r
26 //Agreement, or other applicable license agreement, including, \r
27 //without limitation, that your use is for the sole purpose of \r
28 //programming logic devices manufactured by Altera and sold by \r
29 //Altera or its authorized distributors.  Please refer to the \r
30 //applicable agreement for further details.\r
31 \r
32 \r
33 // synopsys translate_off\r
34 `timescale 1 ps / 1 ps\r
35 // synopsys translate_on\r
36 module fifo_1kx16 (\r
37         aclr,\r
38         clock,\r
39         data,\r
40         rdreq,\r
41         wrreq,\r
42         almost_empty,\r
43         empty,\r
44         full,\r
45         q,\r
46         usedw);\r
47 \r
48         input     aclr;\r
49         input     clock;\r
50         input   [15:0]  data;\r
51         input     rdreq;\r
52         input     wrreq;\r
53         output    almost_empty;\r
54         output    empty;\r
55         output    full;\r
56         output  [15:0]  q;\r
57         output  [9:0]  usedw;\r
58 \r
59         wire [9:0] sub_wire0;\r
60         wire  sub_wire1;\r
61         wire  sub_wire2;\r
62         wire [15:0] sub_wire3;\r
63         wire  sub_wire4;\r
64         wire [9:0] usedw = sub_wire0[9:0];\r
65         wire  empty = sub_wire1;\r
66         wire  almost_empty = sub_wire2;\r
67         wire [15:0] q = sub_wire3[15:0];\r
68         wire  full = sub_wire4;\r
69 \r
70         scfifo  scfifo_component (\r
71                                 .rdreq (rdreq),\r
72                                 .aclr (aclr),\r
73                                 .clock (clock),\r
74                                 .wrreq (wrreq),\r
75                                 .data (data),\r
76                                 .usedw (sub_wire0),\r
77                                 .empty (sub_wire1),\r
78                                 .almost_empty (sub_wire2),\r
79                                 .q (sub_wire3),\r
80                                 .full (sub_wire4)\r
81                                 // synopsys translate_off\r
82                                 ,\r
83                                 .sclr (),\r
84                                 .almost_full ()\r
85                                 // synopsys translate_on\r
86                                 );\r
87         defparam\r
88                 scfifo_component.add_ram_output_register = "OFF",\r
89                 scfifo_component.almost_empty_value = 504,\r
90                 scfifo_component.intended_device_family = "Cyclone",\r
91                 scfifo_component.lpm_hint = "RAM_BLOCK_TYPE=M4K",\r
92                 scfifo_component.lpm_numwords = 1024,\r
93                 scfifo_component.lpm_showahead = "OFF",\r
94                 scfifo_component.lpm_type = "scfifo",\r
95                 scfifo_component.lpm_width = 16,\r
96                 scfifo_component.lpm_widthu = 10,\r
97                 scfifo_component.overflow_checking = "ON",\r
98                 scfifo_component.underflow_checking = "ON",\r
99                 scfifo_component.use_eab = "ON";\r
100 \r
101 \r
102 endmodule\r
103 \r
104 // ============================================================\r
105 // CNX file retrieval info\r
106 // ============================================================\r
107 // Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"\r
108 // Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "504"\r
109 // Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
110 // Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
111 // Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
112 // Retrieval info: PRIVATE: Clock NUMERIC "0"\r
113 // Retrieval info: PRIVATE: Depth NUMERIC "1024"\r
114 // Retrieval info: PRIVATE: Empty NUMERIC "1"\r
115 // Retrieval info: PRIVATE: Full NUMERIC "1"\r
116 // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
117 // Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
118 // Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"\r
119 // Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
120 // Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"\r
121 // Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
122 // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"\r
123 // Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"\r
124 // Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
125 // Retrieval info: PRIVATE: Width NUMERIC "16"\r
126 // Retrieval info: PRIVATE: dc_aclr NUMERIC "0"\r
127 // Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
128 // Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
129 // Retrieval info: PRIVATE: rsUsedW NUMERIC "0"\r
130 // Retrieval info: PRIVATE: sc_aclr NUMERIC "1"\r
131 // Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
132 // Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
133 // Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
134 // Retrieval info: PRIVATE: wsUsedW NUMERIC "0"\r
135 // Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
136 // Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "504"\r
137 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
138 // Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M4K"\r
139 // Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024"\r
140 // Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"\r
141 // Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"\r
142 // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"\r
143 // Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10"\r
144 // Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"\r
145 // Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"\r
146 // Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
147 // Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr\r
148 // Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty\r
149 // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock\r
150 // Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]\r
151 // Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty\r
152 // Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full\r
153 // Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]\r
154 // Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
155 // Retrieval info: USED_PORT: usedw 0 0 10 0 OUTPUT NODEFVAL usedw[9..0]\r
156 // Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
157 // Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0\r
158 // Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0\r
159 // Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
160 // Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
161 // Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0\r
162 // Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0\r
163 // Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0\r
164 // Retrieval info: CONNECT: usedw 0 0 10 0 @usedw 0 0 10 0\r
165 // Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0\r
166 // Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
167 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
168 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.v TRUE\r
169 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.inc TRUE\r
170 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.cmp TRUE\r
171 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.bsf TRUE FALSE\r
172 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_inst.v TRUE\r
173 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_bb.v TRUE\r
174 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_waveforms.html FALSE\r
175 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_wave*.jpg FALSE\r