Imported Upstream version 3.0
[debian/gnuradio] / usrp / fpga / megacells / add32.v
1 // megafunction wizard: %LPM_ADD_SUB%CBX%
2 // GENERATION: STANDARD
3 // VERSION: WM1.0
4 // MODULE: lpm_add_sub 
5
6 // ============================================================
7 // File Name: add32.v
8 // Megafunction Name(s):
9 //                      lpm_add_sub
10 // ============================================================
11 // ************************************************************
12 // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13 // ************************************************************
14
15
16 //Copyright (C) 1991-2003 Altera Corporation
17 //Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
18 //support information,  device programming or simulation file,  and any other
19 //associated  documentation or information  provided by  Altera  or a partner
20 //under  Altera's   Megafunction   Partnership   Program  may  be  used  only
21 //to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
22 //other  use  of such  megafunction  design,  netlist,  support  information,
23 //device programming or simulation file,  or any other  related documentation
24 //or information  is prohibited  for  any  other purpose,  including, but not
25 //limited to  modification,  reverse engineering,  de-compiling, or use  with
26 //any other  silicon devices,  unless such use is  explicitly  licensed under
27 //a separate agreement with  Altera  or a megafunction partner.  Title to the
28 //intellectual property,  including patents,  copyrights,  trademarks,  trade
29 //secrets,  or maskworks,  embodied in any such megafunction design, netlist,
30 //support  information,  device programming or simulation file,  or any other
31 //related documentation or information provided by  Altera  or a megafunction
32 //partner, remains with Altera, the megafunction partner, or their respective
33 //licensors. No other licenses, including any licenses needed under any third
34 //party's intellectual property, are provided herein.
35
36
37 //lpm_add_sub DEVICE_FAMILY=Cyclone LPM_DIRECTION=ADD LPM_WIDTH=8 dataa datab result
38 //VERSION_BEGIN 3.0 cbx_lpm_add_sub 2003:04:10:18:28:42:SJ cbx_mgl 2003:06:11:11:00:44:SJ cbx_stratix 2003:05:16:10:26:50:SJ  VERSION_END
39
40 //synthesis_resources = lut 8 
41 module  add32_add_sub_nq7
42         ( 
43         dataa,
44         datab,
45         result) /* synthesis synthesis_clearbox=1 */;
46         input   [7:0]  dataa;
47         input   [7:0]  datab;
48         output   [7:0]  result;
49
50         wire  [7:0]   wire_add_sub_cella_combout;
51         wire  [0:0]   wire_add_sub_cella_0cout;
52         wire  [0:0]   wire_add_sub_cella_1cout;
53         wire  [0:0]   wire_add_sub_cella_2cout;
54         wire  [0:0]   wire_add_sub_cella_3cout;
55         wire  [0:0]   wire_add_sub_cella_4cout;
56         wire  [0:0]   wire_add_sub_cella_5cout;
57         wire  [0:0]   wire_add_sub_cella_6cout;
58         wire  [7:0]   wire_add_sub_cella_dataa;
59         wire  [7:0]   wire_add_sub_cella_datab;
60
61         stratix_lcell   add_sub_cella_0
62         ( 
63         .cin(1'b0),
64         .combout(wire_add_sub_cella_combout[0:0]),
65         .cout(wire_add_sub_cella_0cout[0:0]),
66         .dataa(wire_add_sub_cella_dataa[0:0]),
67         .datab(wire_add_sub_cella_datab[0:0]));
68         defparam
69                 add_sub_cella_0.cin_used = "true",
70                 add_sub_cella_0.lut_mask = "96e8",
71                 add_sub_cella_0.operation_mode = "arithmetic",
72                 add_sub_cella_0.sum_lutc_input = "cin",
73                 add_sub_cella_0.lpm_type = "stratix_lcell";
74         stratix_lcell   add_sub_cella_1
75         ( 
76         .cin(wire_add_sub_cella_0cout[0:0]),
77         .combout(wire_add_sub_cella_combout[1:1]),
78         .cout(wire_add_sub_cella_1cout[0:0]),
79         .dataa(wire_add_sub_cella_dataa[1:1]),
80         .datab(wire_add_sub_cella_datab[1:1]));
81         defparam
82                 add_sub_cella_1.cin_used = "true",
83                 add_sub_cella_1.lut_mask = "96e8",
84                 add_sub_cella_1.operation_mode = "arithmetic",
85                 add_sub_cella_1.sum_lutc_input = "cin",
86                 add_sub_cella_1.lpm_type = "stratix_lcell";
87         stratix_lcell   add_sub_cella_2
88         ( 
89         .cin(wire_add_sub_cella_1cout[0:0]),
90         .combout(wire_add_sub_cella_combout[2:2]),
91         .cout(wire_add_sub_cella_2cout[0:0]),
92         .dataa(wire_add_sub_cella_dataa[2:2]),
93         .datab(wire_add_sub_cella_datab[2:2]));
94         defparam
95                 add_sub_cella_2.cin_used = "true",
96                 add_sub_cella_2.lut_mask = "96e8",
97                 add_sub_cella_2.operation_mode = "arithmetic",
98                 add_sub_cella_2.sum_lutc_input = "cin",
99                 add_sub_cella_2.lpm_type = "stratix_lcell";
100         stratix_lcell   add_sub_cella_3
101         ( 
102         .cin(wire_add_sub_cella_2cout[0:0]),
103         .combout(wire_add_sub_cella_combout[3:3]),
104         .cout(wire_add_sub_cella_3cout[0:0]),
105         .dataa(wire_add_sub_cella_dataa[3:3]),
106         .datab(wire_add_sub_cella_datab[3:3]));
107         defparam
108                 add_sub_cella_3.cin_used = "true",
109                 add_sub_cella_3.lut_mask = "96e8",
110                 add_sub_cella_3.operation_mode = "arithmetic",
111                 add_sub_cella_3.sum_lutc_input = "cin",
112                 add_sub_cella_3.lpm_type = "stratix_lcell";
113         stratix_lcell   add_sub_cella_4
114         ( 
115         .cin(wire_add_sub_cella_3cout[0:0]),
116         .combout(wire_add_sub_cella_combout[4:4]),
117         .cout(wire_add_sub_cella_4cout[0:0]),
118         .dataa(wire_add_sub_cella_dataa[4:4]),
119         .datab(wire_add_sub_cella_datab[4:4]));
120         defparam
121                 add_sub_cella_4.cin_used = "true",
122                 add_sub_cella_4.lut_mask = "96e8",
123                 add_sub_cella_4.operation_mode = "arithmetic",
124                 add_sub_cella_4.sum_lutc_input = "cin",
125                 add_sub_cella_4.lpm_type = "stratix_lcell";
126         stratix_lcell   add_sub_cella_5
127         ( 
128         .cin(wire_add_sub_cella_4cout[0:0]),
129         .combout(wire_add_sub_cella_combout[5:5]),
130         .cout(wire_add_sub_cella_5cout[0:0]),
131         .dataa(wire_add_sub_cella_dataa[5:5]),
132         .datab(wire_add_sub_cella_datab[5:5]));
133         defparam
134                 add_sub_cella_5.cin_used = "true",
135                 add_sub_cella_5.lut_mask = "96e8",
136                 add_sub_cella_5.operation_mode = "arithmetic",
137                 add_sub_cella_5.sum_lutc_input = "cin",
138                 add_sub_cella_5.lpm_type = "stratix_lcell";
139         stratix_lcell   add_sub_cella_6
140         ( 
141         .cin(wire_add_sub_cella_5cout[0:0]),
142         .combout(wire_add_sub_cella_combout[6:6]),
143         .cout(wire_add_sub_cella_6cout[0:0]),
144         .dataa(wire_add_sub_cella_dataa[6:6]),
145         .datab(wire_add_sub_cella_datab[6:6]));
146         defparam
147                 add_sub_cella_6.cin_used = "true",
148                 add_sub_cella_6.lut_mask = "96e8",
149                 add_sub_cella_6.operation_mode = "arithmetic",
150                 add_sub_cella_6.sum_lutc_input = "cin",
151                 add_sub_cella_6.lpm_type = "stratix_lcell";
152         stratix_lcell   add_sub_cella_7
153         ( 
154         .cin(wire_add_sub_cella_6cout[0:0]),
155         .combout(wire_add_sub_cella_combout[7:7]),
156         .dataa(wire_add_sub_cella_dataa[7:7]),
157         .datab(wire_add_sub_cella_datab[7:7]));
158         defparam
159                 add_sub_cella_7.cin_used = "true",
160                 add_sub_cella_7.lut_mask = "9696",
161                 add_sub_cella_7.operation_mode = "normal",
162                 add_sub_cella_7.sum_lutc_input = "cin",
163                 add_sub_cella_7.lpm_type = "stratix_lcell";
164         assign
165                 wire_add_sub_cella_dataa = dataa,
166                 wire_add_sub_cella_datab = datab;
167         assign
168                 result = wire_add_sub_cella_combout;
169 endmodule //add32_add_sub_nq7
170 //VALID FILE
171
172
173 module add32 (
174         dataa,
175         datab,
176         result)/* synthesis synthesis_clearbox = 1 */;
177
178         input   [7:0]  dataa;
179         input   [7:0]  datab;
180         output  [7:0]  result;
181
182         wire [7:0] sub_wire0;
183         wire [7:0] result = sub_wire0[7:0];
184
185         add32_add_sub_nq7       add32_add_sub_nq7_component (
186                                 .dataa (dataa),
187                                 .datab (datab),
188                                 .result (sub_wire0));
189
190 endmodule
191
192 // ============================================================
193 // CNX file retrieval info
194 // ============================================================
195 // Retrieval info: PRIVATE: nBit NUMERIC "8"
196 // Retrieval info: PRIVATE: Function NUMERIC "0"
197 // Retrieval info: PRIVATE: WhichConstant NUMERIC "0"
198 // Retrieval info: PRIVATE: ConstantA NUMERIC "0"
199 // Retrieval info: PRIVATE: ConstantB NUMERIC "0"
200 // Retrieval info: PRIVATE: ValidCtA NUMERIC "0"
201 // Retrieval info: PRIVATE: ValidCtB NUMERIC "0"
202 // Retrieval info: PRIVATE: CarryIn NUMERIC "0"
203 // Retrieval info: PRIVATE: CarryOut NUMERIC "0"
204 // Retrieval info: PRIVATE: Overflow NUMERIC "0"
205 // Retrieval info: PRIVATE: Latency NUMERIC "0"
206 // Retrieval info: PRIVATE: aclr NUMERIC "0"
207 // Retrieval info: PRIVATE: clken NUMERIC "0"
208 // Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
209 // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
210 // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
211 // Retrieval info: CONSTANT: LPM_DIRECTION STRING "ADD"
212 // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB"
213 // Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO"
214 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
215 // Retrieval info: USED_PORT: result 0 0 8 0 OUTPUT NODEFVAL result[7..0]
216 // Retrieval info: USED_PORT: dataa 0 0 8 0 INPUT NODEFVAL dataa[7..0]
217 // Retrieval info: USED_PORT: datab 0 0 8 0 INPUT NODEFVAL datab[7..0]
218 // Retrieval info: CONNECT: result 0 0 8 0 @result 0 0 8 0
219 // Retrieval info: CONNECT: @dataa 0 0 8 0 dataa 0 0 8 0
220 // Retrieval info: CONNECT: @datab 0 0 8 0 datab 0 0 8 0
221 // Retrieval info: LIBRARY: lpm lpm.lpm_components.all