add Vcs entries to control file
[debian/gnuradio] / gr-trellis / src / lib / trellis_viterbi_s.cc
1 /* -*- c++ -*- */
2 /*
3  * Copyright 2004 Free Software Foundation, Inc.
4  * 
5  * This file is part of GNU Radio
6  * 
7  * GNU Radio is free software; you can redistribute it and/or modify
8  * it under the terms of the GNU General Public License as published by
9  * the Free Software Foundation; either version 3, or (at your option)
10  * any later version.
11  * 
12  * GNU Radio is distributed in the hope that it will be useful,
13  * but WITHOUT ANY WARRANTY; without even the implied warranty of
14  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15  * GNU General Public License for more details.
16  * 
17  * You should have received a copy of the GNU General Public License
18  * along with GNU Radio; see the file COPYING.  If not, write to
19  * the Free Software Foundation, Inc., 51 Franklin Street,
20  * Boston, MA 02110-1301, USA.
21  */
22
23 // WARNING: this file is machine generated.  Edits will be over written
24
25 #ifdef HAVE_CONFIG_H
26 #include "config.h"
27 #endif
28
29 #include <trellis_viterbi_s.h>
30 #include <gr_io_signature.h>
31 #include <assert.h>
32 #include <iostream>
33   
34 static const float INF = 1.0e9;
35
36 trellis_viterbi_s_sptr 
37 trellis_make_viterbi_s (
38     const fsm &FSM,
39     int K,
40     int S0,
41     int SK)
42 {
43   return trellis_viterbi_s_sptr (new trellis_viterbi_s (FSM,K,S0,SK));
44 }
45
46 trellis_viterbi_s::trellis_viterbi_s (
47     const fsm &FSM,
48     int K,
49     int S0,
50     int SK)
51   : gr_block ("viterbi_s",
52                           gr_make_io_signature (1, -1, sizeof (float)),
53                           gr_make_io_signature (1, -1, sizeof (short))),  
54   d_FSM (FSM),
55   d_K (K),
56   d_S0 (S0),
57   d_SK (SK)//,
58   //d_trace(FSM.S()*K)
59 {
60     set_relative_rate (1.0 / ((double) d_FSM.O()));
61     set_output_multiple (d_K);
62 }
63
64
65 void
66 trellis_viterbi_s::forecast (int noutput_items, gr_vector_int &ninput_items_required)
67 {
68   assert (noutput_items % d_K == 0);
69   int input_required =  d_FSM.O() * noutput_items ;
70   unsigned ninputs = ninput_items_required.size();
71   for (unsigned int i = 0; i < ninputs; i++) {
72     ninput_items_required[i] = input_required;
73   }
74 }
75
76
77
78
79 void viterbi_algorithm(int I, int S, int O, 
80              const std::vector<int> &NS,
81              const std::vector<int> &OS,
82              const std::vector< std::vector<int> > &PS,
83              const std::vector< std::vector<int> > &PI,
84              int K,
85              int S0,int SK,
86              const float *in, short *out)//,
87              //std::vector<int> &trace) 
88 {
89   std::vector<int> trace(S*K);
90   std::vector<float> alpha(S*2);
91   int alphai;
92   float norm,mm,minm;
93   int minmi;
94   int st;
95
96
97   if(S0<0) { // initial state not specified
98       for(int i=0;i<S;i++) alpha[0*S+i]=0;
99   }
100   else {
101       for(int i=0;i<S;i++) alpha[0*S+i]=INF;
102       alpha[0*S+S0]=0.0;
103   }
104
105   alphai=0;
106   for(int k=0;k<K;k++) {
107       norm=INF;
108       for(int j=0;j<S;j++) { // for each next state do ACS
109           minm=INF;
110           minmi=0;
111           for(unsigned int i=0;i<PS[j].size();i++) {
112               //int i0 = j*I+i;
113               if((mm=alpha[alphai*S+PS[j][i]]+in[k*O+OS[PS[j][i]*I+PI[j][i]]])<minm)
114                   minm=mm,minmi=i;
115           }
116           trace[k*S+j]=minmi;
117           alpha[((alphai+1)%2)*S+j]=minm;
118           if(minm<norm) norm=minm;
119       }
120       for(int j=0;j<S;j++) 
121           alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
122       alphai=(alphai+1)%2;
123   }
124
125   if(SK<0) { // final state not specified
126       minm=INF;
127       minmi=0;
128       for(int i=0;i<S;i++)
129           if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
130       st=minmi;
131   }
132   else {
133       st=SK;
134   }
135
136   for(int k=K-1;k>=0;k--) { // traceback
137       int i0=trace[k*S+st];
138       out[k]= (short) PI[st][i0];
139       st=PS[st][i0];
140   }
141
142 }
143
144
145
146
147
148
149 int
150 trellis_viterbi_s::general_work (int noutput_items,
151                         gr_vector_int &ninput_items,
152                         gr_vector_const_void_star &input_items,
153                         gr_vector_void_star &output_items)
154 {
155   assert (input_items.size() == output_items.size());
156   int nstreams = input_items.size();
157   assert (noutput_items % d_K == 0);
158   int nblocks = noutput_items / d_K;
159
160   for (int m=0;m<nstreams;m++) {
161     const float *in = (const float *) input_items[m];
162     short *out = (short *) output_items[m];
163     for (int n=0;n<nblocks;n++) {
164       viterbi_algorithm(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,&(in[n*d_K*d_FSM.O()]),&(out[n*d_K]));//,d_trace);
165     }
166   }
167
168   consume_each (d_FSM.O() * noutput_items );
169   return noutput_items;
170 }