From 43fcfa3ad155fce1f287b22a20af871effcb37e9 Mon Sep 17 00:00:00 2001 From: Bdale Garbee Date: Sat, 12 Dec 2009 11:54:48 -0500 Subject: [PATCH] snapshot initial work on dedicated teledongle board derived from telemetrum --- Datasheets/1551NFL.pdf | Bin 0 -> 298226 bytes License.pdf | Bin 0 -> 82789 bytes Makefile | 38 + attribs | 5 + gattribrc | 1 + gnetlistrc | 1 + gschemrc | 2 + project | 13 + teledongle.pcb | 1705 ++++++++++++++++++++++++++++++++++++++++ teledongle.sch | 975 +++++++++++++++++++++++ 10 files changed, 2740 insertions(+) create mode 100644 Datasheets/1551NFL.pdf create mode 100644 License.pdf create mode 100644 Makefile create mode 100644 attribs create mode 120000 gattribrc create mode 120000 gnetlistrc create mode 100644 gschemrc create mode 100644 project create mode 100644 teledongle.pcb create mode 100644 teledongle.sch diff --git a/Datasheets/1551NFL.pdf b/Datasheets/1551NFL.pdf new file mode 100644 index 0000000000000000000000000000000000000000..05e8a7e9f27e5a26d2d26d89d4b1cc131e06b778 GIT binary patch literal 298226 zcmagFWmH?=wm00C7Fr6$THMn@1()E^;w@H+TW~Ax9%zx`?k>fH1-Ibt?xZ*bw*U$9 z(sS;8pK<@^j&b*wtjx9c)Zbj$b7t)~U&SSv*;%-7->j~UOyFVzY1wFP48GF}3bM+Y zTN~@!o4Xqu(XzA3(sJ{#@$s-K(DJfM&~kIKaR6E6@9E04+(14~c2;RxEm~f7AT2u| zw~nwduCcYzJr`Ws|K-BPcC|M)`74P7h>OkkU)TMu{~FO+|2K`Djh+2JX}moDLE`{& z{RfSUgZrN}Zf@THpz*PB@cv^RI|nE4KXqZ}=3(df=Q=(U>Y*`=@OjT%5fB!HMteA8@k)IsR!c z_r1>lf1a18<}jl*l*rQC`jUB{}aBr*qrxq`7+}O~@$e8sr?LCt2(}tamhgFG|lTTRK!O`AW-|8Oz^9Fi)_@;V#rTPzkDn*ce zeaMMl$!5#t7eMzcSd1ORmk@&{1{Y0&T>iL*XBtt!&SKo$)|zTd3(WquH}+ehCu#ZU zDJD?c_~egB>(qm>f!>K}>mLx9@?zraJ@DlwrNPC%PsRU|{9j4= z*Ny+SK+f1v-$>t4pY|Sj_wM|vZ))s7dms4wv9g=3F{{}9oTZKFzb0h$4U8=vX#bl3 z?f)hCefInpFEM)?TTvTVt@}9B0`IehhmS+&{ziLaYsdd$DQ08sc>m2oi~aAKZ?u1* z{rBS@rGG#EC6|`{U#ifu|7HA*mgC@%@aL z!~Kr-FWBABNZ#vzPg7Rrpk@Cz{*;xuX#axvuP9~i`@Qe)@P6f2dmBR~V@EC4`^}YA z+1SkS0{ry3GvnR4G=N|gM0L}JU8wKC|VpCe%ZXFsLOJuOGXmV*;cSuH-@*uNX0 zdw#xE47lWbc;eQzz23|T5eBz4pFEr?`goD`we2t6<#*K**G*qQXW2o zMX>D-53>ztoKNZAEN-NfMI}NqeCtKP-h$~iq1AVKSBvK?4h~~mQJ>%=3Y@Qu#1Gw0(1ymNoADy<8MJ8)a7p2_-V_aBR^!~TN16=?@5*ePJ)PZgfZ)~2cKWAv z0-w<_N1VVI=_aP0NdfjC)DVtWgSQ?xL5>x?fvDGlftrkv%j})39bOG8NIC>s!0`Gs zM4;idJM)d#J||I#@bA~y7RIlMZ=x5b>=Vl#N~C?`d@^;=DU&grlo?5nO%1%90@ zywb}Jb=$Fm_dTb}Dv)a;Fpkx545}_@_sdQvJWy?m5~n~DgKm=~GUfyxh}Tz(X|3tU z*J;b|kJUU?Y4!jLZBk9mu|L$VSVsKGO4dHj%jAIR~G48IF zYLvLzCi?&d`dU2n;MvY`A+qJ z|5QC;QejJo+fC$^c`ZR5bB-1L>*jUqx@8jka_ zXXf8MCr%T80oGpBiJYBgDM>BRDY%LlAmJj z4}zPHcnpAJ3q5aIEn8hq7wAkeS{hL}W8`;2kZ{KJUR#BMhXyn}n(sC}#c5PD&8I)& z8;+V=Ty}lx;qCrilUIc45N#K#VI_A&)XlU;IzFFVOfGBQ&2CxC-EQ$1zo&e8`cE#= zJ3Ab7dva!H#rvvrIIi=PHFa*k>T80YB6^61^j?U3uU`d2*FutEU+@HbPc(6@VfY(X zNXPYgE*oTk$=%D>;b{W@!T$3L=F05^KlM(`%5AY=`%dD@ZJj~- zap$8eio;q=VcbeFHO6dYs(e14 zIK2|AP7(8@kl4n+LYq9W>)dFHQI_q8qnZr;0xCz#weB0GC#A<-G%B?$2o$Omg1=eG4fl9;^`5uV>#h#nMW=X#J zgR0jN>CVQg%@K~zD|gDh=>rfNk7I4ZwHb$F+?Y5P(y~Qgkc!?Q)1M5Q%MH-ApZS~8 zo+dywxZBg5qVT$jPxZbtgWAzSsx)qPWgr8*BTd5#JS~DXA~(O=|u!D&>;LpYiZ5 zH7q9pFLW){!p{7U6NJ$EBM8!GA`G$Y`>HJqwMi;vfQVs;;Wpo1|AV5vCHRrx`qmlV)v~mLKRI@okB) z99jhZaL~8^kPq^zhCU?>*aLFZc!Z7K7nbrdY104jZ0!mr8EQ6DVtI_Or+t>RKQ)m`3;I+gXc{9@7XEn31Q zZ}U7glLUyq+4hUVva{Ok%_%2$Sl3ri<2#wvk(5T>m% z%&61(RcicZ?^t5`nl!;dy*X&EF+#X`6v9ZRziD>S%6Ae6z@?g*ENUpn9V`4VHkQ(le5Au{twp(hGZ! zZmrEh&#<^c1{KCP{zj(YAvq=NJv22D#lYbmv+&z2mURx zOzv@`y)i_^Fy<8=M&jlx=Yn{Es#j>0Kz7m0<1zx@0}x|Ct;ZyO$BrN(aK)Lrx>GOh zXWl!#nckPHN1qwU_1u+DzvUh2s9R{EsN1)M^D>9;db4#Kx;w!>OsL499O|waYNM%{ zPI^lVJm_|QDzX3wrz_$IB3}9zd{409rpONbBw`szKV0kb!6(oSdXPd(ySVE)Q9aC~ zVXVHxAYV+~p%9P?(r8l4hezC91yGRWsPPmv*wceYm`bvaE`lU3J_^(ScFz1 zJ?21zsh-C%9B+v|jQ!5A6bT(by zppmNiHVV`Qy^Ef5NSHO4FOqlj_Jc2!g zGOT)OPGzR$;_h(Qqt>~cl8Tps)--^1=Ht)j8ct>rh%u0|5OtUD_g_=dZ>f7x#`P#W zcQAc1*xbgE?<@0i`^Or|+e5wGPm!7jhdrxgCl^f>qI-W(l%!0L^bu zmGEa}jMJCX#Aiu%e{WTe^T)X`#*%lkx7B3e`Hs59|D@0gG3qGP{Y8!0{3i zcnv!y0_N5`F@dBuSW@dM4N-ur#Yl+M>dt__on7=6k!)DphtEAh(WFGNh@p^yM@vBc zFpnVwEKL+mRkl+Tf`iIxj?;kaYFeiT7Ew2}5Z}2&5y1}0lm!>@IpMYNdGiIw8#FZH zHe%PlKAO@mYa5)M_!KcqY8YrZ{&uT{>UaK#(mo2g-|hLD?%H(k{2Td14L?5Pn~U94 zVH%Uv(+9OCSZSdN>pFs*%Y{6+BY_ts;;yo#<(GMiTLT7uX;oCA2IpRpS{kGvgh{Hn z{_(cd4JDa=?yA(wmkfuB%CnOBv__qaXD^w2$n+J$Z`)@RKJ|433MGWUu`gqjx3oJT z4oKMT<;*y~DJ06Z7A%<#Go5Zfb`=B;ZYB~(^aXAXm{^Qa242@GN=;Yce3o?H(L~B? zI6rB3wsg2ym#G;{cmI-rHd4mK{a8fVcZAm?t6#(f;(L00p10yQUz_%4og)!+fKv`2 zcfoM^vH>9{NeCO*?G|ToUwYcfw<86?E79H!$Z+6TH7aMQDq=S~YY)^lane-Q zcU@A2N458|+{EX{KeR_~yFCt#J=S1-q%Qy`_aBH(CMPjO&tOU{O5r;inN zWv0FhZBFOp48I@$_GH#B>RJ=7pL{NWTikxTOg8(}`vrSDb@#Fvqf|o6F=B25L!a3M zZ>Dck%TJ@NYDl!sKAc*iqMe<`rW|{-AK0_N$cL0*tCe_X1B`jXEUy)=%?IG-Z)rc` zDJkZ--mYhjn~*vo+}xgHV^gA@i&7n)auZhud#`?2j&0UzS|xovBKS&dHg9$bGk9u{ zpnKG>TwuyWmzs5O)n(!Zh%}W9+(L{BOV7-l6PiF@bJd1lBIjOimD@0OxLlo@u*!?h z=5l$h{+Nwvz6R^w{xOpLogSQkWlsxX@pXh=QYZzDC3WHP@g`GpUdug~`MEHVucJ?V zCq256PS~FAJM4>ZSk{-{haH_C zfpS$FX^xR7v$E#!!Y|HG^Vdi)8G0<`WCI;V*_?BrSs6Xvo8SqJkz7ijPW7<7ZH=3l#`a4|)&*Gf^>s~Bo!B%r86ZrGS{MIxZ z?@G?^wX6@kS=NT z`N7817v2I49f!}JHog$gpNoCrU4z*jl3n&jZvNueO75+7xG<0mgy@~$TIpcSuWiiM zYuan)$gW}1W-_gvk4}AMr^`HGp$Waj=%9*U^DB3sq1nW#;hV<7#pLi;+>33Eqj)5s zN(w4_eYp2L#Jei>><4Kv4y!j4w_rqWc&gYVa%7MYFwp1`*8+rwOm<>zDaz2vL1BW=)8psx&HPY!`b%L>VelIsbFWz&&DXQ ztI3Igs`_(OgKXExtp^RqN|+Gf^Y!gsp=Ws;9y8*nK$MZk**t^^HXtXPu5q19Q2ncs zU6Auys7Rp{rUL))o!}a+>+|qIFSx+yf9dZ0tE=)q`Ujl%t-$}Ie-N865ZC#hQ2fHM zOZ}^q=-W@uf;^hHHu<#P1e1qDr8c_H;_!_yd%%M7SBGO8c9VC@cYK%vx9r)>X_oKc zwh6DC3N#sQZhx<{-g;_#t+X$0_&YV_lq;BwXoBtB^r=dF*C%Ka2Ek*Y)f_Y%{)aX* zY8iv=ITTSu@uA1b16l`hrf-t2v(;irrWmYlPZaz3Wz=7%ws` zFV;Yh#0O58J|L=_q4Fm1IT>SXB4hcp>WC}ST9KKkHJY_@r%?B^f&6D~mp5a5fMU3v zW2=5`MfUh3L`XHesu!2{v60RrQR*wu^4U)9uH9;i&CS?jA$yeiU1!HY>TDB@#tmrp z@Di+b9#%vV$m_KnA$VQcKX%!>V+am(y4JYu0PG&ZAH$gKU^FPBlLmj7?Oh)~s4%8@ z=VJ|Y+kfOF#;Hkc%v+XLv(Sjd@&v=`ZQAsK$m^@d!$Gy1KK^BGhLq13T!zbcFnjM6 z-HVjNki$d4&ksBq*Ajh4AOKdSy1^qYii}<6?)+OnAoS?{m)nEq4os^fkhN+;t#z8) zo)D~1s*9ijh3R08f>m0$;*SYH{z$NDfsQ45P@^zv!nAGqT|%6Nywc)`pl1f|YoU{) z?4coSpaZIj#_f_!wH1(mv7=87GyjAWk=g=(&QXa{onwq)^}l(3kpWpdSGSa2_j|LZ z0nWsIk`kEIq>TK)b9Jw|3KYcVeUWxmB%+b9uUbO*D0A#5Dh&Pn&iew5IluC2??@p2 zZfk%Sd5d91*_I@Bi`bX(GAb0&=wW@LMtI_)n^=7P=atpja7{~PZY6l-Bu1)Y@k|}R zn)C`epF)1L*)ND$cG^4MO}71F58!?GklI+H*;Tl11Ow5UbkayNS0t3T`zcDpruOUi z>CZa3_bpuoIF?4UvFI_$XVsPod8u@27tvO>p1Q}*3{VvCm~HpBbxny?(;&PZfwxp` z!2fl>R4rt8eI*2#TTgjQtXk%p^y+3QfmChx&xZfGwdKkn;?<2cqZ38H7ZZRs>cUl_ zQ?CtjtLIg|BmjGPa%b~vev*IzMN!3n8=nX} z4;+Y8Z#F$TuFZX>v)Adq|IFiw;{Fe+bakQE3Zm5>LptFF&J)pgSFAF?vNt(b3NqBN z2)tLXo+_41<>#cxGw7<5RH_aGXZrr6l!UFTf=JV+_biBFMEq{(E=z|r1=$DV)TihJ z>cI@&sfZs}uewkxm%aVJ@PI{aF)q4~^9LVzos&$jm}Cmllww%fA*NOpWTyRFb~f@$ zu3Hy@3WC^{6vhjVL`(d00aF${aQi+)%Ahsb6S_Ie@g;r-14)laQPSq4)j-f{o~5<>TGVI zYmm(r2}<`dBZ%g9h zIHDI@3Be$Sx{uK;G_x^?>4(Zf{72-&A6Aa)@h{WGsIyawELnUl#J7D=`SK^OvKF^F z6$mFLN7CfL8m=@q8w}dQ zIJCr=nJxEzzLmtP0PS_D!Hp>F1Sjt;8$KGJ#LjcCU#>z@f|O$V4&!blXLt@9+UQyL zS~@GuMUyc)f7nprJ|QzHS&98pn!bMhad|HK&)S#LP$PA6LYWSCYh41>to3iw0Zx?6 z%S1gYwo2;d5|hm0#}C~lq~evgC&@?YF<}Cq$TmarsOmVKGqOnLHInP#-cfA8OM0#1{W_z_ zER_&7igm#j>CMN%k@3&zMd`%`BXO|%HW?-5pZYxokP$`GJ!+#nZI9duDhyjaskIHL zG#SDr$WD{`YH(6rN#eFb;cI%j8*}(3@daFR&aIS0NGG)R{jm4*{0O|yg4gU9HuoE} zCs_-TpgoFLonZHp(aq>+**56>!li-c3b`YV>w0P=WMv^-M|@_a^R5$-Tdn}4dC2(* z7aP^`@q|vbOrP;)O60f>Hd0OVIpne#?q46P0J%tb@i{{MMsbeSHQpc1IKA?C9GE>X zX-`MDmv+={$9nByg3|*V3OIjp*jjMa>4HOi8z&-q@rY&-?P7frWLVmSe$edj?O6$t zXS=9+%V?WAN{#98m?2go#BD%qr4|*I!kEpI+Q`nbf;RBdV9l35wbZK$3 zzkFvi)wzdW&FTGw@ljc;;=Z9EQl}b@+;h{! z{Y+2+2bPs;4h!1+A_ByZspSu_q~>@;uPOKRho{vCzh**^Yehyrp#a^0VH=FTNw454xwE0ww<%9lO8s>ICY>!sRYdxVIfXD{!WkGUTI6$*&HD+~P03?L}+_ao5n@Z@4_%nRD%L=#xB4_KHWA z7_>{H|D76QD_qtgB>Lgmd)lB&Nou}hnp>&PEm}J`csmWpH#h7WjTA-8_N$Ld2EJC>^w#@8&E*qg8dtG0kMyk z11o;UR1Rb;+9>F@OivDv0d9#+TFfl%%`y58;+$-+e0cs$_gPOq`b4uqB&JjhT8hdt z|Dk(z?ZZPaGuTB-mfO@rQK2@yv}GpgdL;7ombv3NCw$|w*4A14q|02Hp!F5zpc~MF;DJ4@LUw);8}>N| z$*I+*^o9hlxr=SwjZQ+GwVbLnWRj*L=27cO4-)4ty3m(v=K1mNqLuxPB0?HB1;Yv~ zw`WG@oN{UNL5WkkM0noreeP4qJ9XKxOI7v7-=J(B+>#1YR>N>4mb^ zxsZvssj4@^Y>9@&9lfDIQN2Tm+3avD6kpJwsLC=H*bYh1y+_K8@Ie0?a_^+6Ln6FN z`Axsvrg@Xb)el=S$tEK0wa&MLwX$VYN{OCpTsi|>ER~Bl4v%js796otycgCfgiTTF zXz$R3h3W-1oX1+9pYRc1;wwwd*CFnB=pN!XR&B(L9DjvUw-WYiZWHqieiir=lZ9-M z>ly^r_Y0G~_XyPy2^}RdCoxfQqG)}V1^C1$CgPGZlO%-O)J|^|&B@$E{e#y08;f6R zFpxGNH3UcxTBg7IWw-yp{D$b{WI^6K&a3#KN7_T@wmq=V!nkbLHObTe1(!hC`9_KO z-tt#5tmG1Yjn(<+rGa%qQ&wlsF`k-pV}md)4KpMhO}e;d*|(|dTtjWDkPdF&*?K{m zH}}E)is88#vT6zz6rPw-ATW=}gTyQiFk!)!qJ|Q%Hy)X(#zNlCb$lpmln34wZEsP2 zgoJu+S-I^}a8B>T`FAJ%#9_93AT zW^^(>=OO+ong%EMs!^QZm4Uu8VW@0P=!eH;7yKr?#@+L|@iibu#NX@CW^Wg_gFnk6 z&Pb)b{a1Y0p7;`yH;D6%_xUetrp~8`2}fu@#go6|7dXg-%75(|6u>ml8b|v_x~ulLKFI&_IPn5 zE~vU9GQ&F`X0L8@L9T0cUguqyC7{c+XVi#3KX|-5raP12u3L7pb(Jqq*ug4UZO8;E zjhVVQ*}TZm7j{Es&-{|YgoA!F%6}*mYkgGIyxG{j%SBNKxg~abff{lV3mODZ2(&*A zn;EnHN!)SvQz37srS_`D&2$H_-tDO-7vJ8rWP3I00_HS7-E)~019u!%HIYCjHwlz^x zB>EYa)Wi+V^4Qr(e$4LICrynoYix6)(?kNF?^tKQga{lDAFu*a< zK)vjPgjPX0`r6NJ8+BV5Wnub!hh&d+Da0HXSu+Cet1XDqO)jJ*N*u$6)f%&a){!G9G%YN4;?Faz&GeR=c@w zjhHbc#XVU&PxZ8)^iE`6pVjSUL?1j3!62oe+A%tjm?fE&QhX zy{vZi^=*^Uxhi&7MuH_M!xXn`CC-WT#f{+Z)5wgjgk>=Lybs}DUA}*6p3n2L7g5Y4 zEQghB{P}<~*Z?@^`B``KglCf-xLlgBkAmsIYj;yD2i3b7!Lc%-abNe}8I90ioN< za8jSHe{*lQ!2D7<1$&H*uUA|cnG8B@qg-e;8qhUbP<$z~M;A~*F{LHQ6Ga1W zp2b7T5#z!AqG{lQeKLlybrn`=^ z62CvMd2JL~@COKEdm%f@OxN?n+(~VgUiS6E^W7Icg(*niVlya#%8lJz8;%71U^ zfz9>)4aCq~pk2z16Zihfv-UVlKe*|*_A1Qb;fb&3DG zWlgqg5Ns*z(I7bbMkt-mmR$SUll*}=TU&Ao2_F{HtFWJ(|s1-lJ3e%#~UB? z6MzOQienVQOX=DZ$QptLwAR-5K6AN5j)qCvi}L`Iss`63W}lzyYXYA3A-fxRE&w0! zIj`RD6^p?8eN@W%%=4{Stj?2H+x5uyjlVrAKHOJ8R{2;OPZ)rR=U+T4K#nt9B7ZTa zWWdM0FeFq(szgL?_WKZr{#|fbd@-6jNRaiAKkG*2+^H9#;MKJIvD%sVtqI1IDnI{O z(nd_KmtixEwQ11#=G(%@Mr%p0__DNf>#hYFYBOiyAsXY%&1ogX%E`3Sjt1v&^U*ZLZ7Uavg~8p@ZH^ixbD194 z)>&$8jyg<@(M!KfhOctnVl5PV(z2^gPd3Pf$%Z9`Rm7bDxtg=vF3bl2f%L=D2g753 zL~5=2%`%#9!U#BB=!}|Oa{_TxI&xkf^^va;<72C@V{yD}?PXm*(%fhvrI~%O zoFZXf>`_-`KmD!Ru3_+zyw>KpoVI_o8|p&7(pCi^T3sAL#{YJ62hXZMz; zHd8Y`G{AtvuLK&MLOLT@kN74wL(Ce^@#{!5ZsZOn(?P0QX&K>yH`U9;7+A^z=-O1C z^_?DBsYDl}^O6-PUGd@1;&7Y`kKPBH3!KZ5r@H{nhlcB92p>M1q_@3%he@Wr_100j zJ{7CU`l^F{9VT0$*|?_He{iJcP(SFg@blxUC7=H+4athfcvu>G5!<$G}z@266# zTj*5bo9A6c?<-Ia_ETbSv*!)L7N@mEG z@(GH|KKQ)wcF)w*;1zVja5DO3Vt*0!rZ_|A&?D)5P#SWo8Osccbkb4HC98Rh`TZa+ zIGE5M@Z%+uOOQt#C@y;0a7Ur9d*7`ej-=uQ4~8XHX%41oc)Ul{ctLN{EF zuk-XCR9$yTKl;5_%`2X_C*)r_v&uWJf|*~o@#7=*l}$lqlviTftX0Nz!(0vXbp4ZW zl}mmp`@b zV4lf7gxYhh>KcyS*%wyjYpGEXkZJ~*7~Z5CbAJZ~!bm4hEai_uF=2sosJ~O9{PgDT z`|YS|r`@BY*H+JG1UnPxid!gN;Ao`CCli0PGQ&$&F*>jQQ$(9WQ&-gc2k<_!Br72B z%TE*jYyz@{9R#45bYM&vPcXoUMI3FJWKR^ zg?NiE_91A_Q^S{FCj7g^1oEsgW@-dKNsM_j#t@yj*sLS1+9J2=z;i}YFWNu4W+<&czUlUPBHW|h0lNdnf1wSMAOD=UmCreF7{^YV z`TEn$&@?EFG{Qd7Fs}E#f$>C7oc8MvCiK4(J%yQAL|W0Eqm`NK(>{oXf<8Hm-|_O`q4ca@RAqKO7B(RPUsyDQHMA=|hVqQR)Mm>KB*sVegEqtx zXH&?roH7#6?KLTLAY8PQ+I}lWKe9MgNs|CPHQ&i7W=$AMRD>FwV&a2;;4Lr~iU|lZ zbV%aMd{Ull!8&O1QENe6E#w7DyR4qWTk+W%{|KMM-0oWNL@*HxMB_ahZ2a=cl=&@a znxn|-#sK!S$?PpsEZvut})VW+1FGqs&MMNb7F_6Vj|QOrjW z?_e3U)*^MPE?-1teurH^`awevnuv1krW|r{jV|pVDxmdZ|a85B5 zX-BttM7yTzP!w9@c*(UFM(_l{jxOTz=NL_zwZ9Wc788@YXBSXZf@`3c)ZA)%+hlMX ziTjW~pH5Msc2%z;-%9mbU6bUpa=K2A)}kV9v;aA~3JSG6WqU9dRjl*BRY!kM*8Zb9 z;s*X7)zM;tO!Q*i3yCe8SD;S;oh{QVR=<%VRzGQfcxY%iH|)EwY)9>0O1}d?z{lYh z(Y%ZwK8>2F-MTY)8i8bOyEJ&y55P&%$dgimDFZ?d z@rciQS>yQ@YOQalV=y`3Oc*li)9NjrzlT+B-K-%Xb{4CGn(DTCxqZlKIEGB}1TeLj z@W{$2ZT2&blxl|Ew$FEq_9OtjD$wEcz~W-c@s-*NE8&wt-2hXM)HInW?}IRxI}gg+ zVoKpPimSJKaMSq=!6M?4GC}Wi2#l;R;EbjA?(wPh4czr$_(|hke}{E9hif>EhNru> zx$XFpq-w_b1>$5Fkt3*E-AZ4CYo(_#Pqusz^Y#*@cj=yDip3rZ>-%xGNNVC;qAmri zomy1$fUvwMUFjv4ZD#UX;5w!p* zYH(|=A?LOvM_HJLs$HN#iabE5d*og}V~9<5RQhLqxMLry&iwHnuxhEQxe$yISl4WsLvk0=$Ur6=;R{m@vljcb>v75Q+`7hy&eBc@J zJX5CT+;RA`CH8lSh~aIZEW zc-qJ1WjF5>~jR5k>SxE!2rrmiHDkR&JZ#vht z#B`sTnA?T>yLHqEfun5Zv1ykB0Y@;KI}8V_`6>38vLG+Hy73#!?{(w8Z6iOs_8J8d z7cm5@TPJC@47#R_9Kq(J@rwKjv66G)l~L?XQg9;3w#ZeFi2$MG#*l^C@cdw^X1!se zm2xKM@BGo$hN`UjMRfuAbnLS-hW+si?iYa@SG?rX7rltJkGK@#)rA!-8=UtCLpOF+ z&Yw;Q6eqlOVBIIwU*vsbVtx}TBDQZD;pZ!%>P?K?A)3tRWPS}r)w6G=H8&!|#HJ6f z-_{-XBE$@62+@9u0y9vTEizyOVDFUnd7nJ7SU@`S@(jQNu6y{HM4QA{%C)zCQmvDFVU`~EXg+_wg85de!_ zO3Tq*8>=KK)zZR8MI~Ko&lFQO{VZf5us5^x23EPn0QZ^i0=_C10>&HK)FD zvnSSrg^q1lP8iRRZR^?_2=S!DaKm?68CTRbNdza8}w&T4v6QNDHaKG}N^ zAMc6p5BfLgVP3IR;~|z7j^U6foz?z^D!z#>1h!{I!&$H^Vyk^o&fxf$r&?X1)B%j7 z003G=&lnLsWG@2!(epUa)Gi=SN_oK+9>|?LjER=V zc~`p{Kq~l>-1PG1Rc)uRaEOONN}4flc3D_1Wkp$uDHHTC%v2D1SfYkw6oFgD+e@sW znOPOL_e*+EgI{c4>9n;8r7TwA#FJo8aNRb-oVO8>@}#Vl>AyEOjs9 z2#K)Q#vWlI(`bIJXk8gYM@;j&=QKAr^{Z8M82@Hki26-ZcR#aL0n)6zq+ zklmS;X!S$b5R-5OzP6aDY6NG5UDfBBZ-Xb-W+|4rNmDfCN`tGu>+SQVq7_>hKmBa; zbdT^2r_cD+J_y%!xM(L{^LKoaa$i%b)G1^GnQ%8C+Y7!W)ADNqikCO*IP8DsiDo_rVytTgUH z0ayB&D0s6ra)(mpPUfe><`6$i1S+TEsxACfV=PCKO7b*%*~)^=9!j~Eq4?HL`^GHh zbI5qwkex-CYEdaXJM~_Bn~RBUpzeiJT5*#*azocJp4BMzyTloG2}nxF5^hy zt4O>Ym{HPV13|@P)pMm}JWEE0JllrH`h(mCEGmL6}f`o zHW3z#!{fb-HU8Il?=^ECs(LHlQEkzEP{9^DK8O=TS5i*QoRAn?Rmut+XD><%=yqea z%ZGcXQ^Y9zXg;e=jEty9l$EX3r?-r_OH3}Wb~uO1SMJVkTF+06 zSe@!V?#TF~a3pDIDUvCyU4^aweE)iW>xc~At9qVlQx@E#`o_h(|Nc-8tVB8oY)-Mj zJyYOWS|QM~yMVNIq#n}=--Ji;jY(Q0dL4KBh>X7%5oUe zVOFpTJae22^)&|*IIyLgWZ;iO*hrG6UNcze2{d4$=EqnSJG$nIyC!v3pm?U@H@Bl$ zRgzJ9DQ0xQ1cLWO)4}vyu19gYE){+{)7sE&#cMU^_z7;bE9ojLH2xw zGp|39a#z2~O&Xj3@^V9S_6~UWxyc26g9YYF)a|YJ;%j-UpOYIK#^j&BFn^Zx1xL6{ zaRNIJK$n++a<9j z{?Wtj2Vu}@w@Ao=UphL?g)aO3%BU*UX&0dNPI96G$Lur;(jXc4lA=7I&HJv0L`r;Y z;+jV;i8MKj`gpU9sgK;U_A=}RZ08@ydJmrvQ}Y?utsL449XQikB8{Iaa;v`4gBtE~ zxpn`MW)8&9{-hue`hp}hEn`Oa_02#&SmU>j7sjQ9_oP00^`}s0KnO;sD}$V=iyDV! z;G`?Q$ON>)!qK~T9zk9~=|@^Jprj=CAj4zR2M|`yN{??Wgkakf@j0S;_!rf!H=7xj zV04>(g=b_vS|%bYt$NPbS)o7IkH!)f#1Vt;+w9uZGPvzKKzoLC&k%3aBe7-E~=TCg=)}J>BzmBRjBgHDtNddI`K@( zR3|UVrk{H^c^`TFwvoy#UK5(|>9xT#TI`jNtP;JON)I2T#(%QA&X|7zw zJr6|SBw{u?ZpoBStMR%v6d1*5F6#%>(FZp7?XS7{Yc3^>tmRDO@0kT!!+^yKF3z*c z4|p*cS@NSC-1vxj75McD;`FJBTmXki$dNZF0faC!*(yD)i7@pqP7XnJJ&C+ zdo8?z@0wIKRWIkg?Ua3vm|O0)&S|dvrw8ljw_33WN6T_OohR;3wJrzhmSr`0RvT?s zemFFC=QyLY+0E!kPyp&wpiLfWP!$(+%m}v)%*eB#mJ1`6t0BXuZUew;zV0&py#kE3 z+mjphyO3k_hXDxfq@0ST2GAkXp&mr)PBTV_ElMSTmNDK|8$l|Qp{U0eutl2-*;R>~ zy`Ui+p9DN=^j64jG|kQ}595x{f_ML*4Ynx+_!@TlMyoH<-j?>~*=$s6;{`~BX9+g& zy(Mcv4ihzJK{aIITS$z^)y)r-n=e7Ehoy?=$7(URDy$+W+*Kr?rQ}hkFF*bBg+ZjK zi6Or->-%%>c&XDigyL>5M&t5TZS}XuL(_4ygkI)t{aRA>zZv2L3AoixXxi%Y?|Y^> zqs*E4Pb+!Ve}3f=xqoSCk|FxgBmgF9$@k!k(2i%C<9CV?Y4(Xzf}N}2s%EC~PFaBn zO3tl%4T z_;jUL5^C)JPAZQo%lT6a@$-=7-^70Ve+EZ$$9iMOv{pg{ux;d>mLg)zAfG&jZ(p1QUuvZR3$Av)Iio7M%x!(o%!(Hv_h0cT~`;IfR)=a z4V3#~WDT2q!`)0M3H|!F3<>j$kG?#0vK+>87-P;D9OV{S!7|y?WIR10;yi=RMGOYc zbN3GUeT^8dZrzJB$|Z{HoC5{g)>>jpD!dddct@U+z|{|uk{^AszG=%nS7Zrz4wC2_ zRb!4m&!6l)FRIv8F|5tglO*8%hV^zs!6mR0j0%b=;r~)PtXBH<1s;uWKSt_#6n~|P z^wxP&j_Jg0VxLTx^Z}Hc_x0fVc;H)3N1@NJ{pQjSQx!~#mxjT50n5EIpY3KM@sbFp zJfcFLRk|@eIK$c-1?k@Zc@qBOB>hk zJw@}n`=zSie836vSB#a_)eZ7<6j=jkmItCr#u!tU{;PImy>Ik0lz)j7b`q0~2fd>v=+jvEjrlR$+H(I7R@PQ1q#C{vLmrDH8E^;Nq&$4Ozv+X`U^PxJBH#E^^w(2dcS)}?&VC0o80pvf znGkRN;OLf~1)G>nm&PFP4Y_{rgU<8iJ;O~1#v#l8HivuFY4p)eY{pOXt$1&5U7Q=w zqxyO67k((Fh3;drah>_xnW|$}UTRjHn=5@-Guv5>XRYoQ*fI1E5)I~B&$VxAq4~9V z3nwADIodwc6Y&xrCaoB#CAoEjSk=O37H&88$(rZhxf3iA?i1e3-Sr#@l(8C~6Ag_i zDp{pL+4a3r2@p!jKMfO8@86zzK??y)iILcHSAf{5so8N5qaMl4bpWq`d`n zT)VO+Y{#)3Gc(7IA!cqfGcz+YGcz-@9b?SQ%*@Qp%8{pcjKP$2v}2bfU8QgK)uuQ2XI zI3lk`+FPf&gVt$pc$`|&wI8@DjD0mN!JIcU3iQ(1x#PK`DXRb7YCw({wq@P$L*Fl_ zvuLLVx7x}>3CMfSvOQYf1lY&RHVMhV_i&1bxUX^|Q(sygY% zAzL0Eui}!EL~d~F<-~-#w*D4F`mZLhKRDo4>QizqWbX8*3f*6)u19~Fu@$b-@-4hR zPkj?m7?Dv=&M9$YFZP#a42?lFoFPjSnPlME`f510BmfMES>-?CHsn7&Kh-uo%z*N~ zd?-dM#v8sDbZ=OYqPqOcjf&S< zCs0>EFi(8EeJ9^wPdb-uud)u)lzW|l%c6z)bamH%sd{}I{gq`q>bW`yky~WC{tm#_ zq5O2)Yf1X@>4LmR-1YR`IwZKw+MmtSGNg=B%m$_E}?ZVyq z>z21rO2e%C1r8EWZjqY!LJM0{7-Y{| zPR8~eG*l1a!mv3EfwO5NJG}q_E8Svt1)V84lS_<*?(MsXLp5;>8KZ}6dt||UV@UR- zfHOsWa+?0rSjnFh4)$CIX@dI5cTed=SoERIxA-!k0Rmpt(LeZ!QpaOeyaEIkHVxiT z1>Y+6?%q9UZwcLrKJFH8>vJ*!Z7!Z7Wy%Q9xl z#QQA;$g=eO zQDAxoWpVt%&9MU}m(QZ;g)o+g9zAU0bJT6L0!g)1to7f%HdRAKMcL#u8vfg4w9g6aeR=_C{Ncb~CF1JLBWaq}WcLii%sw z7}YdT1HCa08jl_7Nka*1pNH?{Y`%ITGRxQiVBTIUh!>SH*UtSZS(VADiIhee_2}gh6geiRfPIT95>Pj!{Ku-85}M<)xgesh>OgkJ9)-NUax zV6vb~%q1QX*Sjl(^$qc(`=Wqk9W)RYj{C^v(C{O2Bl}f&bg2hD=RBMtmk70uaA&k2 zBu8w`CW|PLlZ1tV_6QX+s$kh(B{;Em@#nHp3GF8nD)<4Y-h0GD;zadPJZInIwE0-Va zC!y2GvDsX;YFB)Y0hRYS_OyJv-;rRHV`1-ACK>S~T_9yaIGmPX^$xk;kQ0qd*E65) z^>)b{vf8X$%(J=LOGD5l?z@sUBH$a%ss^kFn-d?@gAM5UieZy@%mMw}!5nOps`>i0ho~R3P(AR;h1xBHw8jE&u zSmL&tMTHo;=Xn4Cy_w;>L0Lq5{TYms7}mMOeQ&zV4*LdBBvZKlG04lA~vKUlV5Sz#+F60-6mEm%;n@YJ59^(3~a9+zju6TC^CFe zX^;Hew|6q`1Ceio$M9VW`VAA61z1{t_a<2ky3KZwIIx{?j32bMQ971}H^Wbsg0}QG zl)ju9Yqwa&!dUO6t(iR5H-WPHa8n(f_dPlyHt7|5>5e;7vqHoj>T^D)QthcAcL{VP zZQZplR87)e{$E`-P+0GY7%xlLBWsF%Q+J~X$MEU0tsQ<Mu1Oys#^}U> zQ~~8M@wS4pZt1wC&%7&GSM;78nTy3tz=EwJ#G{J(2yd`~ngLd4>LEHZO}+VHK!onJ z1|RNYx}%qSQ2ni`=vDY3s#+3Ry&X7g)eW=1L(-IQLvPfLP}{H%l6GFP4xZkU1v(sE z!X9Y(sLgSBk0#toAyt-t^&?xDXm8z=g}-t)VfM-DzKwk2|886-gG>_m zE{#aDJ;r<>t$R4#O96tRGrM>le>ZrdRDl)M4H05p#yeJeCG>n7xiwGW(ee)f`V7k}USX#j zW4(eTms+|w4ff@fq4G@1y+PE*uDFP3cUQF|fpaD-UPY2788eGP>+trP%l!Hp1P!xn zp~M^wa&!%=q~&WT(-}K|)=8hV;>eaP(TMqJOm9i@Ts^b-kz|nz)eOXyA->W}+Q|sq zsxBv*wu7m!!s7SIv@xJ@jD>6ADehZ#3KJY%?hmF}V&Tmh2i2(ubZ5s`Z?^7ULD*|q z7#ad+ITuEO?FZ})cr}s`0TC0>9vcfB1LDnYnJMEBl{y74D!mBPClsm>Lrl0Qqxxzi z@v-y*96Bn3!k%{mI#KWr3H`cn<_h7>#TpHn2(7oaLwJFi=+8eHVOEx%Di19zLWq!x zS>J0FgrygdR@8Cm2xk=vm`aXGkINH$TS#blHS9!sCegA(vE}Lxf1tJrUzN%FU8xvO zIqeW-sNB9QMb1d$`!ZdY2?+=lIaISG=V)Drm$!>jxFT)T%`NTCeOy!XS4_t*pS67M zdE9m^nY`ZNfO-uRe*I6Di$54ge_Jl(9CYpf;6cgTIvD%~4D|;JiQm9Z&(_4+-pUrA z?r;1kaUDB*K4Tr*Pe>;^Y9$kWdt*B_I_5t}PV|h7_>7Eykdpp>(bLo8{|W!O`@{Dq z{Neh)34hD|N8Tso6ch7bftl{lVcfs&K0P6sKPCPh^LN}I$$-yt|HDP|7yWPkzjFUa z8qHsI`sezmw13x)=0Eg*tvB6Y5*R;I|4@I*`ge|hwd_y3|4RR-wV%9y>hmY=UqOSK zSI5raPbX9J*qZ2=OUQp3jBNkaxu3vj0+yc;V<`k&N46ac{T@035OpM&%>=1=NBc|Pm( zkBS(X{#TVy@>-ee|3B&FUodQH)FOO*f7Gr2IbuF*A*=CkB(VR0tNjaVj-KHYQtp3` z1a_LF68obNHgKaYRFelRm|)1^mPzvbW9}QoWCgwa(>iQR&sI|C%^aNWq&HpWQ81S+ zdsLj~s#k9u?C~OOF&A!dX+PmyS3;n9%ANJDk3~^hvtq@kr9+R(dckkTZ(${7g~>8z z?uK;i6gv5CnCrgFTZMXHX`h1~I}G=D6L2?HdSElZ{K4t1EavWyJtCuTG4d-aZa-Jj zqvnvE9jCB3jvuO3YFdqU(9}umJ*K?Z(iM=XMyAL_>Xdq|T+GlmGv<2Gn!rx2&K+-u z&3%T6EEU;uav&!~gBDQfa zm&Fx1X4D8C#NKpbk6Wiwj;KWaRIi?Ou#qSbbf^86|MEr_rrD#jXN&9Tl#i?AG0o1r#F{K1=_wmMjZSKo}g?NI|Gs+OanF%o93=BKGK zIKW2Y7!=OTQ|Taq*9fiE@k7ApH}(!nC8Zga(}Y>7cV7ZiEn0$|E!UFcQxxWo#wSZa z)7EaFPy_a=0hRI~8*}drOc1t?ptz;0?R+jhxJWcTF^^I3^LQZ^oJ?jAR188*T4s7C z{7@P0nkjIhnlP1QY*^UtHa-rC?pRh6zz&`G$hv0+O?(`C$|&-VKIk2+Nr8cWR$9+nB|4{Y}lu(ygFezlpK$kteZo9yUnWO1yw_k`E zSB_fsTlp?nk}0qpW#jCTRTfrc6QX*l6H_wP!cVPog?3mHzJN3J49zur^9; zwm7VdQBY=f?{#&OHGB$IUA?!_LVBePmY_a~f%cb_xU?+J?<^-(9$mLdkCf?;=&x|A z%hZO?YL6wld0AQeW{T~av;|oh6%EZ3eXj2PhozuKFmlO-UWxCq7ldTbt&a_`PHufTzs z9hI}XE{-z1$cXWYuAXhpb~|)XjARO?nrGcAP4MZ})9$j&S2_-8M2yl`24x~4)8ML8 z3v)0I6_&JsfZriLSAO`OIFNE^LPm2 zut%~m0_TQPX9w-gbKZmwjY*>^W=ejoGmIa(W%VKx8BB>zCs0m(x@}^fShJvtloL#> zrgd@W0aD_Xj3iv#d0)vnwPeV>O72JVO@-L|hJC*jFV!24ex|F#(AYU=Gd}2eMZ+q( zIdjxRAZDIKH|>-#Nx~6DrE`*^MNXbIu5O)v(z2(S7DSvEIAu)TM$i1i%=6&*(KK=A z5qe2H7gpKQk&7_`&Swnc0dDjqreFJ*Yt75qpMi6Qw-2j?MAJE;+}6$yCAnrstRL%= z*)UBI-4l+p3|H!Nwu!sgLd_(#{Zf7^rQO;61SyU>kgMa2OtNVl15kz*f_2pn8lu->2V%q&!Z0ci313U>2~Y*{*`dn=`gsRGv6%kij zsN6=6jYC(f{wP^!q9`eExCBBhqa!|B@m3*W*_)=cYGW)fh8y_xKx9>zNFVmg_R?Om zmK;cTGVlJa_S!3{oYs@4J9ja>KjggKgUSf;UERo(mScm}0lXY*b z6F&#BAUSw-M?)Y20sX8TWSVV}zsNE-KBY){XlIVfQRYL8cBDHRq!1J*-id%Ojv?n_)K2`*9S|DNTy*wtzX)1X6p&4oY zeL!LBdSv_AM&O#P0r+^ZgCm>)fG8`Mv#3V6l@%oQ!rV?gTew~a=J2uAi0fuG$P}}! zo~v8v0J0cw8;v$kcsv8JfXiZHh+$pde2Zdnivb3YIz{|d$=A-d^dA;rq` z6~>+8rR{EF^<8g-@`FoUb={}!y<+yV{m2P-+9bAcS??!nx3uP6fXlG))Xvw}?O zHPSUyN=atpRYt39!f<7Qx~WYztHraEc}i(Te_pL()jPjUFVk~~WY68gTY!4$kaqM? zy;M>z@-@13%gs9GNfnr~M)De>#eO>w?eR-Si&JMwn;uivg6;OVky14B#Jc<4rh4lP z;P%H~PNV%&o?sFMYN&6+idO0}-qc~&A&LhpmC^5cEzspe;5wwv8>Ga(p7=Du0H%nu z*9tv&-j5cysJ(75KW^Vsf%q#&Cl>%Kk53<1rJDC zqz;du*VYRA-N}0+tsIeX=I*})g-U}Q;e-ah>sJT@Cf5IE*+plDKr>rhix!1){6b)c^BPdEwa#_k%?_^n$J2Xvlj_V3H znr!$tR)g6<`y!D26kJM&U$*u+?&wt9gtxzlm3fp>fivgbuC zJavhClD_1i2OTBYP?GqL(Z_taUA{htR_>$}YCRc_&nsinlm=6RUb3!4W5~T}zpS3B zSAYDZbJO`SUOxf9Sa7gmJAt=PqbTlcJ&N~}vRq_&IbN@62w&#fCDTP({}k*UuA zvOa)_q?dH@$ds4G{poM~X8f_Uq`9CNB{IX3Ml&!tSZRE?&*fo~V!3zFiFaKG1D zfu26N&(e5@u=>1+{rP6CF*~K?t{$F$S;xwE+vwU&xQhnoYV-26h_|C4h?^+2(?bQN z^2nT#3iO|BQxypGpXO*F{@|fF`e}|F*T_c|{mll@SYs@je_@ce=1jfam^&S2o9c3H!ah z3WC2=*PuM&DHe|Nstx^%GyRj|(nEjgbyxcfYXA94u%kjTSH~AlR z10Z+^Lc?91)$38mQi3)%|=cvTqY65pZp?op`3A~uvY1jt;6^R-W-87=k~rkTPgtS zxGFbs;~@9i_&e6RR*H{)mzeJ-#u(?JxwC_?G*n45ZDh2x+s5ov+e8e*hcXv4l2F{Gx2di*Q+GC9A=G3Q3?e}n zlO8WllooUXi@MHn1nsvJRWqEWJ^38Q84T>ipiYaLdJRKYe@eDN`)|~Df3AC@joygJy3ygb~2VlAZeLt05WsuW@a7~{n zh@5F~U5htvR~xtXTq8K@x5De5^R5~>m7aW+#vuaEDmmvcc&t|kq3&K`R(RUEptsiu zq9$Ql&T_)7djvVSwChiv@RL#}dmF?GiG2qhX1T?0ZatSlqvl2uI+2VnBf%U7bwihf zeViDuMH91_(4P`QdBz{v0TwjZG5uD6qv01uLC(o7bMr z)Ygl`Sn=XOa)C{s(ZWD4^ z*=I1>Y&K@+TKrbQT(k>(nGT-tb1dJ;j29?AS3ZpiD|XI3#;@xr7ogJtB|>}Xo-mV2 z^PXD{o7y+c(z|UYXE?exNx6aR+?WnW9>N3~lwrFeYgx81Jy;J6^X!?H(ZhAVw6vWg9NY!)JncU zr_IZ5?x&I&HV)P!FJgGGI>UYb@@ccq@qBGwI8pAjae8{|ctAMEsjhp~)Fbs>Ijb;j zWG!tim!zTc#F1AIpHkF!aOLQJ+w?%m^_Pb=$$iY?4=i!3F)q$?T%0JH7s&x;ZLmO8 z;+(cu=C~kmbY(n3gM}+-v-R_P8s@?;YE+K5P{9u^NjEzNaCf5|6vIG!wW5G25=&@T9R(>v>q+q5C-qa{@mOLMo4AU{nK76 z^Bw~ynY1{Ec(IEW`$tY;aFtk*FcRHetXL)j`m_@+HwRG8J>wI&Vbxp|T-aW4jy*Ng z5^mN2cHV*=Bkd2gFaa{=?^$CXPauX^44AlbIanU#cwlZ%MYT_vbL1v8_#-!6TMHkS zEgBjPuPj~_f_|OUsFU^MUc@yz;!wKvA~9o}R6{<4Q|1hp^+G6`0S4_QI_>6S@4!I; zP~>2iNQaU08t;fDu>sZbT~tisOQ7T@?>mS&OB0OM8!;hnn+wnn=%%_py1-1lu~OR!X{cQ@TtDRkaSgDk^NH^bnsppIJo;?lk%~rWdpl{UFA*gCfyDtTM4qpW1rIm?a z^`xvkcc1o1S_ub-h63OTpy*SFW8vh(xhPRrP}8?#h+!tuye{-1i09Q<*Wm22(H)U$(J9`Va1Hwg>z#R@FUWEzKG2S`HwsnSw;1VPYxc>K zd^zkLlGBxH8;}nn`1-X~ywM6b_zw@X$fQWe7ofe~7(zA&9J#ho-xk!D^!ua9sgD+V znyN943yY)Qz3e~>5zuH=DoylA)k1{>!y$M@%V^Uf0rm!31sv?`5jQLH_!GF?25p;< zZof>wZ+R;0q*o@iqF#8}f1?eoMPl#~azkF)aST?6!?$n&*gpIkwq#voT#jnoqOL;j zX_vx=dRDRsTCFlAwRkqDawjR&aLUit=G$sTF&97dU(pY9=vaX7*2NxJIC|Ms_J&X zZR!w}k_8lxb_4nwgnvLyJ+*3!YHA|5j)`eXIS*63!&*Q|!AO2>Gy08f5DyM=AwDCW z?)BZeL0=r|@lH(?Cp|*A@&|BCQQJ3%%0=;o4^#~+;~}1V8_-~7;Jn8xVzI@^<)6V+ zUcZZvmrM!|~54_%|FMGT%S9|7-I zb>e{aZo9AQx00OdG0{Ies9M}4TBxi}u0>}p6i!ge2>rQ~ml)+?4l666J)jARiBKrL z_?lw&Pw17KcwhQ3R{h>9s_b?s-hL-fP_D?lCxkmz65(>^qARV*N!^K>=ZAQyos}ku z=~T*SPi8y2DSOpPhq#S>6oi?*1D~;Mp1KZQf{`=G*RDW420RgNZ0s}wMP>B}?M^`k z`7``t{b3We4(kUa&J+W#y?AgikrhJwP(vz2VL4R(D0`aF&u>1WZwy@$tYI7)8P?-M zNLL-6nX7?8Y(XJ9q1`D07`yZfLe)M5>2M0|A@{h!8!s_nF5d}0e?oC`A?XxAfvt4at z*AX}>^&1cRy1q%H>Q(91`|j$ZFO%}*ykvpe84{)~#tN;Uytq46*;vF*8by>6c_v$X z_U%j=9lBs>w+~B>tN+W zq#X9QQl2J!C>z;=35l>ee@xuZ6T_9gCa=vfvn-)ln$)GEppI^R&z}| zNAg7JQd1(0lOkZ$s`RPJncN@Bt_i7rmh z!dK>Ml3G`=i&fiF<~Oyn?|yE-3~F}N9oZs(8|*$s*RbPVP*9HzASrmqq!t0i1=DY- zxS>{GQ`A{E;Z=|k5<)c%zIBBOBf;(vg)`@{<6dMJ)hp?Y_g4?M7~8MspvGGfD4A&P zk`Z4L@wQc!BEn4!6OBbV6N@OLA~?tig&PfY?YFH)TI%h-e>&je);Uda#yed-%l=M^ zkmP7RE^YO!XT75vmhaYdVHM7-}H_{GJ_i@{d~0NacEF&srl>&x#epzl#8w$|Ljzo!I4E;??O%*;iIChfkyTXc?2Q0&W4oW@D^f z&boT#-8bP$QitP9IUyGU5$YcKC4^hu*ug4Zi&1Vr{>H=0+!f|oT*Qv^_w*+xY1e2` z-y`KW#gcHdyk^f((#v#QfS038p(WPhXIHxrj_9-PCQ15?O7=r%tq5HaKZ>7Qgf1qx9h7sRk_69)Wji)wk-++5gM8-~dTe^%I@Wt5CW z{5W;Sk~bnFF~Q4BFl`w%fo}UrE8oB$HvV%^Aw%6kQ6aacIB=cOiDe{B4lSWzjuX*Q z#sK9G*M>GohO}lF<2*YpKFpuv4XM&nfoP=G*7#0jOQDW6Xr5#ybeAHkp;?3FL|>J~ zHI@u8WO!h%sIo_srC&p+Vwg~)V35Ff6W7l}0SWu_H}-NzQsw!lh;-XSk1a2B5bBGl zi0kpU_NfnIdK7H(<2M)e7R}tJo3Swoosit9+_3Jfd4B7S+3dpOwiQ5fKkqv!YlU zu%B`ua1FGP)vjgY`4?QlTO~uu*=29rCxor1ESfr{OAzv4!g}_Pns1)^;zYIqz_HEs zc-FfhGutKDLR(|gR{*E=IP=KgA@;-wka0kowa5+5y6*NG`E2wZ{=yt zIx4Qp5EBwj7oJCr7Xp(UJb6DW^^)t<)U&TGap`)+D6~dttn${}uc}srzAx0S(Q=Za zmOWU)Lv@qeFk}66U|G%@4Db5d@7TB`imsy_%6NIThy_?TR_N4=ojwXU5ORXi7@pGl zoepS|kMz=9EqizfksH6nc1rM*#9;|(krN^TI78ZwOrQPCzCuiM5+D3#aJ^k@Wq?V+ z)~^RpSeGFCk^*a#?BMnqCm;$JB`uTp)ic8S6ro2-yX=4?)FTE!W!& zP#VB{^*p?|k4rZ^Yg$=w;U(FvMOF}cn!OLO$^2bNS1fo{E6bg@yaFYpKk>~gW(s-` zW_559-|p{GuT(8NCfCSCVQ^VLr!@XN0Zl|qBDxMOT$PYG=!~juP=k)AHSlAFN#ufp zLd`r{2&-KCX?sG4HoUYe#TJ{V%gak8y0tPcyzS?i>vk_cKxq`rqkDro*XuO9ZzvT; zWPsSG%Fa2f9I@d!R-hi%+k?0&qE@xGM0U#!q<$GpGTm>kqBd(V?=p6*<4I53)2zCV zu2tM7%u|C~&pEi*0Rr!?tohB9mzIS4?`D9(_v%{GA!%iAD}islmVd53Z@tr}7@_WZ z@W$f4c=?eO=1*IbPOL@MMJ}pwo#q#Huqk(JcT>2#ao1>dsI23{?Uvn9V)f(}<;Q0I zmVcW)aHY~9#M^lW!g29%SAVx2cyjit+>Oo}WHWh#%KgByV*EaArDeQRGH8cq#4*Zz0M;L@UJc zoui^}F!FcbH&1OzF8y83i72dB-A0AB+V8|tWo0|!x|$o`_x|;a?|YigsT?*iXf%(N zZsvn(Xa%(lRh+_a-}Cz* zWa!bPyPZ#K6FOn07XPnR5)X{(N&FOUC`>GR%1&Ux`jbP0Fx=B(;xAIB@TL=v^Yj2)1(o~mf;x8Zr)_(8GR9A=o?AYnE7Og(?h<_U|$ z7Z;C6)*qZs>Bt}p$a1oxob@~x#d^%bWz~k_T1zu=X=0(eD-wUf6IZ?E5mIm;$evJn zLb?$LKS4;-P2gkX!TM4%)FMfbeLE4g(ZO{~Sfo3$6XprzTR|3oO#{q%|5%QvYLdHE z;pO$}y8LQVTP<~GqAfOY`#bCWChEe=-M#CB*a%Nc@F{wA=f&z#OO;KkTWkMj-ShVc!Vsrx97)&C^aANyKF5RjR*+` zrc%S?kaw0_G^j;&y``nJJH!PEY8efQHYyOP5_|<>ys&Fc&(u9s#L000Xa|4H(iYmx zniZU)x~KA)yz$e@C)}^tdI{o>ECu(H2Gg9fX_x5&{k`qq*mSIp;SDvlD@>2b33a|) zhh=ne{UfQM95fe_oAoU|E>20V%NgDlPT>RR??{Y zV4K}DRR^U$oW$| zBFksmPyB%XB`l$bjVCjp85;ukAZku?P8||4Xa@3hK)oB?5I*5Ns>ajMn!)f1&+3M7 z_}bZ8=hH^yVwR-4p4ushoY!8L+lrEYgoTnmB-iD#!-ks9lf`U);Q-aC(sJ);vVgH% z_ujGWFnY#pezx4hXdEP6bP31KerJJ)#?YLud!PpH-r?ExM=c5c1&#d03gJRIFD$!C zjEh+5Gzj?UVIQuxvh|1@uED(fuuc_#1my*(x zN+(PDeX;1hc$cojT6a9^v-^UnIRs}u+{St|I(L)>7W-wGPG8*eLl?8tR~H(Mm<=*6 zgfOZdkvNq++J#0YnE5Na*Co4WT5@Tdh3_-QFa;sz;E zDL4pud0O2da<*kw^m7 zGpw1~xvxB(N_SN?c|~=+HmKkYJPe_b*6Me zN5LJ24(r|^IR3qRP46QCfj7FQUJpn5rcNkxBI(-9?@h994`0n(WyXwE{MhtvJRF)ADra zuhBcL_+yV_vXp`Bly-v6!F>)X_^IxVfC7Mc=pxlGIOP#$%zSj=EcqjYtFvc_W1iOS zW|ieW2FdLx6gy_UF0;D=kOcoX_#0?Uuc&(Z)tjwJ0!7LErHi=n>ZkO67WRlO^a)Ia zS|!+Hw@c*2Y^Y3n^8uN35a{;HLO9q398_&HFoOOfr&l%~Z-)iyO3Qjpa4Qb+v&D>G zeMl}A&ey-&5U9W@Xz(P+;Kl*p0K4{EqtMtjPsbyh)2OGkxoJ|8rfbU{EhsUPouzTE z-vFGts7Fj`@ViY!+z>ubH}NoyZW}D2Pb+(G7p~Fejh2pqsHqxmC-CWOWg`<$mf_0x zH*MO#T%#)*KZ{1Y`YLlBC!y)m1xty{-@1*QXKZ+x4O(mB>tQ-8;U)z^0;9B?)Bt7$ zWdQc@M6>RitTdI<^Y!=3*Cz-Ni2X^lU`myKWBy zIr$_}f-)_AZH+sS;gbdxd_rS$;fjkg>W zVr|fnryGIa>!;eJ=nO}0X)>#m)`c8vs~R4&WGgLmwRz2ehWAG z4kRkp9Lb-P#_1Lzz79}J>qF9!omS_w2T$RWx?6QC8b#wd7^(O?N9ybWtB%nw_ZZCrx{E0=e}f!ug@3-g!~YtP&i@ zhQR3BvNI>dREc`uwY;1NNk5p!Oe}LI*%Jz5JSt+$9oA9gXjmxC?XCjuhyz}%BMOpQ z%}UIHP9#cpD%%0!6{ZOo++5NliM4fFUs!;PI4)$ev|TIq>!2Nf`mbFO31<*AS0Ih! zwOA5ZSP7ypV2tTrxKXKFfD;ivDlu23h8a|MHp?zFiWR@YNf*7{DtzRieH}x1Ay(N( z-VzfnXa^I@{M_vAlxiI29h=oSW{di?+XBl0%0tK1I49TG1InJG#30;dD0M+&^u-^p zZrSbGD>u{mSIrD>4iG1ZcVLI)ijm~bO{&16v+d7gMB(G%C;^|O?xh8)Ip~ApKhKTd zB@bC8@5EFlXB4Qpwi=CbJvh|{*}s>=Z1j)wz2oB&}Ol$DDDL78+{lK-p|4oyKJG1KXrtplYUs-64EhF(_4U*`RMP!>Mt z@bB$Q#j$;sj~-3tu*%8erD#>QJc72rz2fy{klA}{fE@2W6tB}I9&7gS(X-j7;KF)rNsO@>sYXyd3Fk?|u}K-ljz>26MQ z$M6lsSS)WVL`-Ce-u5=H$F=+_W#4+#uDs$NvtevwyTH!__XLujvS`t$Gi5$8SI5rB zcIzi51bED{JoJSPdcXi zwI@ryn?7egfXOhN1t!e3(OHkceJdZu@~|YV%K}QrMr|7yk6qID=tAW7ByUNVUztMi zYhK zr>%}d&ow!UR!g^^MVY=jVK*#OOg_I8ITautPIRDxxPsSsAC;qI_gvc>(O2u?Q5$}& zH)bAfoD{|xh9T%wp(6mcUkTV-{z)^cuCnm~Jwc;8D>k*iV|> zRa`Stm8Xggnv}gQ%0Me|Q%A&MHw2$3_4=Sgl5kMm9&cWamq+8=hXu1fPlMeXNoGL7 z%EM9w#DZ%`wW*q|Dq4Z0h0Z=OGUM1}`HD%4gaW^mgYgzNvA3&Wt%g6mde!J~n*ER% zzqzz5jrXrG?tpkMEh2<$OMqRMvifPg!Zb;98UD;|X5n>+UHhXhfXmakjSzUx>Z?4N z$*`^CGaRu>*Fu$g-guj=G|-mB{nSGMVI$Nu{3>+_WSMar+av5P=et-*Ll=2H>!AnE+aw+J43|Y+ap}a9{fbz3dAJ}>QUD^gRxBO z=#v0{IduSKJa@R{-Z6x0cgHS3tjpSTP5s&23soww@EAk}CIPEulj7=?p_>y$jca&x z@Otz9Z8~eD@ChgrG!170lg6ZrR)_Ksl$~BS3iUm2AnoZL+S6g`Ujyt&i~QIZ123;Q zD&0QnQl9{UZQ^tI{gXPOmoFn_)}9%PY^0QprqHF%1nQ8f>agGcN-;RHI}Fh=mGAo8 z{E=d_XDo^1^N#%7P(A}W48+3wK6`_YhEK?Qdt$`2iLYrwu-2GMy^r+iE!SxXvL$uX zEe5|38&w*oujmd#NhH)wq&0?OT2kXP2KHQFhi%Urcb2^L z(m#X&zVaq4Iv$=!BN&USv?@ka?mJ5Ae6Ic#W8))}%PS~%oYR-8k0ED@ykH2veM*R= zy1(M#pfZ{jn1T=CQh}p$khcA`N^+nvd9l?vZonKUy_q1zndafSjxA0_p(dM+cR%30D4|8HpmuN9_hP*xto!X6 zy?$H%E;fz4HRVf4_uo2QE}uke+r|Lp6k}ir z7d0RZQXl%HGt8-XUeO-FtH@4)#IoLe^ARI@D-IXxsUN3-%@a94wC%4{%FPm|{rTpc z@oPvIsZ0;K0?Zu4hIAM>3iN@s&JM*6;!>FDA~1m*wA+%Y#~T{Lylvyb@quGo#=%Yp zr@3{qFgu`Z${kS!AxX@Xu!ZANjsPtbIhSqschktHbt}!g*N@0)(P+LBW=UED;#*3r zAJs0r8F5n)Xx$C3N%C_Z=eV}Eh$E0Rrv!gRmS1Tr%8%sOMkw6uSr_NDajB4VJdsdE zZjveuJf`~&HR_4cw?=sijL9jHw_im1U3BaXK_$*WB+k&{7P?(0{h=WCXU@)9nNxW~ zPu))K&KZKiJ|-$QKHz{@CGtxDCwt8Q@2^DY7?~OWhx2^YlKAx0Jm&TWww5~f2A`)5 z9ZmEMWQBM+IqmFi4RkDEAcaHH6J6xxoKOe6tcEh96DoW3VAQ-T!J-+>bz*S7%6t>~ z28_rnya>v}4~i_n?_ZoiH*aAtC?$|VRjyYCJMVEk4RS|wd&e3;(tK^qH`&uqGTfg z-;C`St5jWucn!>Q*&$8SL8KLM?LuQo0n@e+LlCHFXkpLk44RD(Kop1-x>RZYm zE|S@KT}3XD88!vxJ|b@6A^Y@9gEMO8BoXty`2zI+@%G+vO+8z@Xt02af*qv=1w^Fx zPE=4jD7_dD1jtz`)i(a?z#8f_qq4;_9uVr z%$_~7*4k^&TC--a`L?(YN*Lm)=%Ox>_ouK7mOri1*K+tdzQ7oFUr;lIm3=iMiadZ< z=3Y+J%8j^W{iH}6ImndnMlDbK+~BrA~JBM|PBbeRM(z zvxh9{NL_g4c{8UIUsBx11q#VI^K)m>Ain(=#JgS1P<_}1KTP#v7rEtXT3wC9;iO-t zj5llch~QCFH4ir{yPI9d<9A*-wef$>YkTz>doL$DT^O6Ek5tZXcmrXWHDNg1_42f0 zZ;$d$xf$M4k5fSUN z#|!F&*AkacAugY)dnEXdqLqnZ{?)16>n)GOdO%V?D7X?CTwgQhGTNQ0e<5+}bVaS7 zWm@Y?fRa*m9?=d)iMytt|y5cGmv@@x*%xx0@pzN6H8MD>wl{_K&A+5M{@g4Dlp z{s29At@-gL|6{J63qL5ZL4n^GdK{JydY&(zaiuCh13#GjuHWRePdFnE4ogDp@J9nS5$cwAL zi@M{Td}HM)`KRaR5a)|x!G@3SeUfXTjC$w%{Nj!8GuBtX1h@6l7T#EY64Yz7Y`Q1t zeHQuHs%Pj7d2P(}lXJ}S=Y!8Zcr5iTm{)#S;qaYfpkpYnil-vW)gxIlzBh5QoJ`u6 z_m}^jKsA$l;`riD>&fO;;`xN*iUJpDOeLO0M4{`l0sN$`f`iY^1IDf|Z zj-Jv66LrMFM+mFQy(#9J@45H0qj(j?pFFZj-h1A3zxh55dw-OUrm)t-(8R@O96#0v z?qL0}x3TuvYqX(@vXm(neFKFHg?C0btx^4{);!Df?j+uy|;LOphF09L(&3cd}tQ%@Dk@qx9DoY@1 zHLFAqmFH((UvR$U)0pcRePNjm<(T)V<%sZ@-wU5wcTCqLcdx2dN#jP;1hY>yyb%7D z@QQ%mr=~BZ`b70Ih%Bg^p7`N2lu!4D@Ec^4CA~dEBzY(>%OMnz18gS*r!zC&d?X0y1>etf<9r#jOO!SnkU z94Z9Hlg4ewelku*HA;*&YZrfaY6VpDJgCdiUCec%IQ(RZ%qByaa8Lq*XHdlBK zz}q#nKhMdZv!)aU_90KyN#u7lm_`MZKC*d~;jc0T31y@22AH7qOS7`CMBhn!0 z6j-Wr;&uOypl~7A-dqojX{~8sZh$F*nkG;uaPxdk&BNS>WiRY%C(fbI={1DCYnA8B zXW1dNv;sP)H()&=(%aShVHw$bU?yqyz-+%!G7J|y*z9-xSo!Kby?bJeK@F@;Zp|tU zF0(FkL31^#j*8D%*Hq#ZE-KooN`94zT&Be?G@9eNpzC`Pyw#c{pVaI(*sy)1Yvm0eNUtvA+efKQpEY_d-ZE{^@9rA5K z@Ei?HU()Eh=75$f{QV1s`Un@LtXOR>LUNoQCx^7=RYd_S&d9 z5SeW`;)!=`6$Ryr=rO`mj(66Dkw3|Y#f`ruD_Aw$TJ109E*k}El1$J^A1Nx)h z`JH811@_)vvpYuX1N&|J5Vu3OJrCcSyNJd-)rzhKq=(ME?C~)ROv+|?-UHvk{lVpz zIP7~NGTY)zuZgT>;`inptK6j^W3Npb+b6a`7-DI*-Gr=(<5V%O0XK>p5|OnZ9_-$L zVQVV*?B{04>=J#Zk5wAuyDpJaIZP=}*o{kyMd8&z$z%q^xYExopFe&+`C|QLF#d6T zP6B`#W22?N#pJ;iQwUe7uL{rjIX;Xt! z31|oO^bhqP4QV22Y3cOo;Tfkg+%i@&4KsVPWV1@Mxw60I+{_8i1?9TsV)I_)Ve*yo z>k32*vI|)Y6N;`CeJCa?_A1^gu`Zb|)hq2SQ!J}1mnbi);I2Sd-l>eQx=|HgeWChI zHL1qC2KUqP=US~*?OdHn-B`VD{XoO>hOS1X#+Ih1P4&%>nrm95S}I$`Tg%!++e+Gn z+lxDdI*K}lI*Yo5yNbI-00echp7LJF-s(O`Uv0l^f75`%K>MKTU@t}sGdyHCG(BuS zygXtzvOVfPdOY@O{OtILiOUl)lk}6{r`V@*riG`gW@KkNW;JHV=Ad({^Dgrz3vU)L zEygT?m(rH`mn&DEuJm9HunVh>tH*1B>sQtjHtuf}Za&=X*wWov*mm9~?S$;!-bL>T z?$zO*;U@R(_m2-g9Ns?saU^=wjMu?09ebQmoWv2>3FSm3;yB5kL;_M*4&Lk@U-)m% z=5J(%zjId<>`kpKc>daMfRA5PMC@9?)l7>ke zAgV{^Ff$8~`700zL`E6|y#ZZ3ckbNza~IE_zj%f60_Bw()E6&O-?(+{+Kp@1ZqZ!5 z4jfl$Xz1t}>FH=#SlHNDScHI$8-yhOImj+iQeL9EbeW3k@^va|s_WM;U%7JmI>Ytr zH?Ci2V5Ox24q8?wW=2LvW~Tf1Sy}Jj=jZ3;=l|z^3DQr{m2;qUva!=-cR{DFke$9l zMrt5~0Q8+D`{Mve{dJrMk)1komYm|;`3sa}|9O!Nbn5iq7cYaz&XAoxb>`Gr3i5Mj zPhS)OE?zl(=FU~VvyYyeUc2k`nxFjor*Cwmjq%O%-n5Iu_)?%mNO?|)H-0oAhsN}bW(G3IhdnrYYg9|uqOZUK-)Z!-0 z;=YKSj+IAHEV`t5XzAbz=+r5I+B1L9L_vO5=noR^@LfFvP~dd;+FAbBpRWI+pjN$i zOMt~}#@RnYM&kz5MR1#y{1*Wf_k`;D03woT%%5lpyIRctL&E=@0=C~IkVZilPyf;9 z6%Yi3(~MAHWoL;{P`V0=Or(!qKpB*;UZb9rs{D%R#uulqHGhbBS0}N1 z!AK4BwRAGHp8)L{@&o1G6K1{Ov)AjZ8& zpfa{TKn#i74-{A;)ML*RIm-jxEln^t7v>EK|f^!o6y7~_|2o%wTO7g z?AR)|2S&AQ$R*!!=K1xDzFw?F{B|I+hGw=h!%Yk%Qwos^_v>y?x_7Wg{Ooqq+zcPK zM_?;17WH;1QQxf04mvTKd;T6*vZ^gCa8^^p&27-Y^^&cTHRwTH75~>9B5!r^-dJ^@ z_T_Bf^VjV?_LTvOwM2B_oYiQGL0g&OnOfU70S9b8BL)o67Ta5t33ESBtLrcmIlYl1 z3}*>!0?He~wt3v9^FqmZL}Lvr&o&Zcw*sgN>U}7iKzgNV4~B3Td@_%)8rxM+yVh5o z?>3o%+oh0PFfmcY-Y(V&4abUV+a{CIvBA!$ed#BGXv?bHt7~2d_wna^>u$*JHxd?m zJ^2|P#@nAZIw(0A*7Y72j1^HbR~60GmGhz3UuD>J;*eS8r8u!B7@Uz8)ITRxOT&Wx zfzQBv9ug;~(Ktghp-V$HiaE9eFP+USrT!7-@etpM@%y1~_K^fCc3s&t`2LXC3LDok z!Ubmx^?Kj4(#(fwXO@LR5_Z?KYD6wy)mT8yF|R`OYqA#vk{2ez1Nu($ZMX@Gy_zs( z>FQ%?8QU>V8W6{TpDfFzTUCBYOUsrTKe#MhRL$*V{CrUSNvX+f6;kGbDonRKhkJ=p z$#6_c>@eJ;(-mwIfX~s!=iqF*m}%HME10O}p88!HkBBp!Be>&q!w#w$Z%wR%Y@2;dYC|x zQGFUeU8%DsT#|4xa6SAYMV9MD#^m7<^Ehj#%NLRN?s>_PKyEjjaV zo0x7pWgUl4Mtlu8F(E)(u%5zu**}!LhZH=%#S9VH0+Cq--S4Em4~)#FrK?QM3C63p zri{HcD68(WV+TrtAGiui64k=`Dts@lIV8PRb!TpjJGyvNdwX2sFc}?50^KYdDMNzO z^EA=nxqSh~JZ=YDu8y#L8*jiN5MWqfahw*XM)moVE_C zfju9=X(%Ip?^LY`%Iw~K>54$AeaA6x#3BB+wjpUSD+{+yvhoy4FJT z0mi&L+}B4&Y)=>fUVLB_ii%KRNdbmscHmqQ7*YSDAY%akmO+Gkd^`Xz9?v(?ysIzS zsvR2-_gtMS6sWEZTWM@?qGApjtA&tnUH5W!e2U+5@Rf*^#5hs+glF6#=Ioc=J{n<= z;UDh^5FTG|{z=uz_|Q5dIU-R7Yuv|oifhLZj9|pqUT~SzNz4K@uc#-R(Hv%r@F4O#4itr z#(hmaK|Z;-56#_wvAONR!Nn2@u;jrRm)CrqIqXytS=}jsUz#-6so7(q31pl4B-5~M zrKa)Vq#7{`KGIEYJel_$MO`6*oR*05C`AR9n1F^fKs<`ZrbI40L;^_p;_LhB|We58WVgd-G_ncp147WNr_yx3%N$bBFs_cFJCxy{b_~0txzMAQn`? zggg`C6K4~3zPxQj6Ai}D_vM(1P|>&OT=JqM7grFEtRc_Sl`4}If+M~i!&O_{;WJWk zS-@_5`q3rJs*^qqs}nQ0O-;(IPH(y92&Oz|Id8brut{{C2UzAj*f(5~!N(H?%BckM z@W#eeQtlN4`KDdmccr@AsKkA>rv_>=q_ih;+b77?ERS;5MME0^;#wmOgfw=2a-!k@AVgT)N~V4zLd7B!d7Yf$G*y;;;t;#PESF zzaoNVM|-oI=txP?aB=yab*kK!fW>RB(!qm#HBCGQ*pj)jxZ9dSQN~K9U5sI`PD8%< zu%u?|5JC|l<<5SA6c~L12gKDp9|RF7IhhS4fsWZopxd2R{l5lj+sI=y6RM4Y1X3>s z-gWB1ldmN`=YHBqDP3rqpQ$Aq8+Eh3CuVcpQ`6WzxYiZgn^}@pSd#8Whx`;<>OXBB z7<2_4Ty4YNgtLznCO~~rs;Q=vHV+ss&sh-_u0X|7u_76S&;H5+vagr8arYl3JBzI%GEwt1pjB-V3#3frUfo~vj zOK8SeoCbDC)hX6&(QIIMb`i6+*=SAB!H0gGO!vztysWgu1=*^|=&x*r^+}K=Y6EH| zk2TVvOdaZ9c9&W1PG6Ogr?a&+rmSl4Oh*4`Yf9pw9XE`jyk8Yqp}Rq8$n^FnT&=AJ zi^52Tr@*{wD~9FgZ*;*mNuXv*Y>isU zX)yL+RL{Pv!e`x9xl|}Gi3Ey>KxrGph`jbNL;OTfb(R_G`QF&-LQ@5;l2J}=DBI$V z`10_>5v<)FU%91-Jax}})w<6YYNt&EL2($5!rcqcXj&W32t(+$M@GgSA(dkaT;sl~ zv9d~u{|{sNzZblXj#RxT^;x9CLbI@HL+X_R)76KkT6-wyR$&H&_`r^r;1Ur*ycXk{ zjf9a^o9nJ22}BewLmY_L9NSMFvA!M<&zdmFDiNMIo%JFORsM6PsAjUtO(&enM*dT> zYj9D*(xD~&<;FYopWmcJZM}c_Ah0THI_R*d4e62*epmpou0{fdX3G(}tq8@49otF; z$j0HZ9~W$INQ&r)cn_XF3c^sQ3-2l4v#4P$2^!`YzGcwjlIj7TFx=ULZ38d!T@4Z_ z(0~MbhFf}&ZT+q*DG{A`sKXZu|HO`qkx#R1x61Sz+@Ls09+XDC^k=Q@1Gc&{3bj{2Ol2Uhj$y zkwgMr*QQWMjuw821bUw^6#&_tqltbnGILA*+)7f#R+uf1fWJm3H~Ymv=7)lb-t7M~ z3l$%H)N)jCB1dRO9Y?^~St9hQuO|PRTCsk@Wvnvt3|5DFsJ8@3Rd;w-jM!EOBi`?5 zLK>&EyH~;5?A_R#cp!@EzVwrp;v?vE?s@i=2t9&NmYFMeKu6=A51>9DgjPJjp9~a#B)d!g?>er2=(gOrZwrr z1C5}Mi$&i`a>LE@l2#l}8*fFRG!|^SJ)i!BPgFRD#KhHrXdj6$mUB zv|d!T&KOgW@j7yv#{Lg6S!!#Arhd>ju@B>=?M-84WmWL%KH0&~;n4Tms?nwe;8WJT4HDR!l+7EJDeD8Ko~i3H2)t-lQUYTzz`}qV?WBAqjSRVvmBIeN)|7rN<5s z9Rng&XJE@ai4-vrUk(?{VTe!J;0$D`K==otPpCB zu*r=;DJwXm45|yp9AZx>H?Ywc|vF*vEjY zy8z&{SEC14u-RA|?`9h5Hg*a9;jBa%c%otoU=fRx2JQ=H0ol)wEF%oJnpN9#8*kh7 z#kRf{FMULqhBjT*nEc1#p7yJ8+tlyv-A3ZY-?=|$m}=J}d{z6hLM(7Ac6Eq5enTG* zk6V+PL`Y0e>O@Ix=56F{aQn2nBzUo46|~9{qD0A;5Ns~fhT-(YUA~pqnST76dse}O z2dKj)#NgR=+eSV_3NTFG>c%HlWpV4+z-a*DAn~y~t;g3R6gap~1MW|V$JBe0B>3+juA1UyC7ApwNKtSp=Arb*w z#=WnYPv7#?1XN0v&AFm|dGJ@8_oz43_Jyx1u-Y%tt7Rh&KX)DqkU-LWUg|iLfBTE> z^qo7-0j6>QY2$!lc!^kUR7wT@PVF3#K<^L>W{Wy1M4p<3T@vUr;I}n0@+>hgP9BCI zheHln@W7;{kdal9$A<%&=@X#)({Sk;h%AjIjRyj-jdT(CVbP0Y`-U7DnZGy`#_{90 zxHOE#2YG_}py*hhlmpaZP)l>W?c$f?O79Z}VIC~Xbot`PeOE2ug@+L^j|CVt0&fo? z2D#W=C8Yy!YyzpK;l2HD{SLkw?;4a;O`7LOuAubomZxT?=+M(wH!=o!$`jlRbcEg> z8*Wj!NEj?_DX5~2%cp?83`TmKj1i`L{&t;32~z954dC#+8h+rydq;{#SdcZjOCkcZ zYA~fPouPxXO%%C3O~2Zl5&r^X{4|83HbAY>6!AX%h~fugW(l!W-lwt5(Is8(MqiU< z8COlrKnj^^3o#)t#UmocJ)`Rg zYO4$$*e0P)u+}dBuJc!u$hd8&;pPNvm%B%Kw@uL<(HO{Z3!>{+XbL?w%9mxYb;^7l zx?vr;qc^2V%nHvmc_y%JyT90rvOg4dG_ch(Jio?JS3~6BcW7Uo(%Z>N8OBUOISUy2 z%fehXoJ>Dbq0W9IvLUv`Vo9JNV6yiki&X;rTsprZXYZLayh`aD0VQ3K^ft8L3)~i7 ztqmUPJ~4zpBZ2BE0KL&n!bOx2RPiHnm`qF5h|%+Ua0aF~$=I_P0)8jW4)@B7fxF_7 z77oW!3M1Q>e9aff~B+yRdK+RSF1Z!=yGwldD$#dg5Vd})(rT?;xaM_FZ|#o znoa`r9D7Q#oA3gOFPjqzYPtDXJ3fQa9icnP;FersIl(3g+x^r}FKA&*Xr>P#UMI$U zV9=^rPBHK`0LR^toujQXCPg89U@n#tnt8?4qbQUxxTI&I&+k2jX@Z-!t>{U~rJ6>J zUsS9wzJwlK`q=DmH9j+kKF=PgGdSKA8;^sf;pD0aI+<3KJ2FSsICf4d;`4EZ<5(-A zU_O6Ae!0k?q1BgWtxEbJ>T%mInC_1(74v1NOoaYUbslarV{s$aL)k&tKsf%rMEhF0 znQoVGcKFGQO4rS7gtmC&s9ABnO1PxRBnv9C^@s&su`&U#n`VnOjc6jfG%(wn=_cIvUh(@z0?kS!BW5hQ z0Z02Cz8zP{Ks_c(0%aRVpdK3=;H<*8-x7RCpaUE4h@Gi)A{OY2vMI}DGk^rjQ(#|4 zG>Q=KIzi^RNucg91Bf9wusfabZ1LlLQOs#brM?Vkcj9to4ceUGxB_|Rh_89v;o`Uo z?`&`0TlLD>3k%jataB$G_z$m`Xp46beMN<$FvQ%1?1Wi{ePv7X`erV_5Nj7ix^INW z*IERT-`%^QWQZ|}xHJmHqy3`MxOfui8h}6vn%k<`9lKd`*izR7%xq_OPB@=l$lE4% z8!0n<8PmN9!N5*b2tc$eybd7LPC=o(odHL)iiEp=l#^L?*uw|VN)?T&&K@2fH}CuJ zSxV(t`DXj7(-@2CzJkz2gW$ixPpG}twn z(%WjUjz~xZJ4`X;Gas?Apc7W$FDHX>BCD##62bhOSoh~LL3c3g4l|2%EZqGk#sq_; zZ*b#g_ul<+jPOZ?S|972i=Ol)a%qmPoW>GnEDuHm!?s4S9z7&X+(KZ7vf)Nu=pU~1 z7u)1HD;NvVX0)`6Ckf{iR6lgAPHE%iF!YvCH+?Bf?RDHoCBy#OAYrPiAu;4HgZcJz z*-cYiredB6v`fn2MGVH!U6A++OYx-(>xr6EO4&OJONt)KJA13Be5lb(-a77@9NVi1 z)T4%`oNKh1`t3*~&KtQ^ja`B7=n~cy`GM(vW4HP$gATS|JV>W-0=_@|(#uv4FZ{7K z2?SP1F|1k584U562zPh1=-{^fyfVUHPxa0+Z$1Lq5G~1_)92To7^E=zx0DxHQ7wuuy@eWyGD&*MpnWsrjO4!;AaWI@`V|Ft!^*KCij-LZ5bw zR^fFb6{9WYsse=Z4&`^1gO@H-ms`7T-EQLD-zbIcRh|G5J9b(%G2GH=0Z8(oDg$?Q z)8x?20_3-xYlqpl2)g*XGsNzS4T{4YLxm@iXRNsIDxq6XOn5lnnZ3EgbUwOmQ>R63 zFemdeqV<3T`cehGlx@j+(J@H4m;y-Oe`Efs`Q78?NITzyhI|+QQ;s20`SLT>)h`uT zqCzRyfGGV_lZWliO_^gZ^}^bd%2Mc^vrCX&lT~WM&x#H3WR(-^P360mt;~w9UOXQb z7Wfhz-}_u3FNO1RMwP)1)6lo}tYTBT zHQSH@5@;)h1Ug!8@2F{{9u%=KM|4RpMm}Zd{ApV%?B^EHimW73V%F0uGT_>U(iOD& z`=at(ch50*%F)q*uLeSA2S%+k?X%N=+UiS!&a)#?kETvlj-6<^aZ1PH)H0S9bvc@w z+Tk%0mELYY8Cj60_Oe|$B;iKO%r_39=(!LBMlSfN)HRo;*Nu5+lF>o<=>B|SvD>j< zgtAEdh8I9W^IXFv1htg65Sf$j##&zP$9*wWNx>O@cxg2Ia~yFZKG`y4uXa zw@*9=%NjQ4y&VPvmC&&a(|P$fQCkRO z=_#Dmsyldy^CiQlH~8w#ub6%Mmp)2n)bI3*5uxE}p$%e}TJ!d0LrgUq-w-#FU?{mOf?khpigF~bUdb)aPW=<>YBnVbD zm@8N{dW@t;Dk}PtK&vGh?fAhV8~mqUlk0i41f4tOMc)FI)FLGg%TI0MO;j3IjHJVm zZ@SgMw_k_A5d6Lh;?eZnpZd1A^4B;Oow6#9#vVZRmu#hH&mgrLq5x<1u!jVSmd-%T zY?DAbiYvroxIq)Xy*F#6gdqm(C)F##1?%;w*OgWzS}CoJY^HXMzuWrTA+IfTr$+cPBdn}P&S64K}Pq=SR4GD2U6s#FFIDba3LWhtnE zaWI-yHH>j_cP7$$tl!%L(&G&^UW9E7=}xeC#8P|I+9N_>Cr{i=4goKHsfqg&B{LoP zLG0W-radiJW;K^Ws2-{Bs-OxiV4ldihM#aYHsdaihyO4!77$N;DzUx{cV@6Cde52f z0cN*--(Skn_v3rWOTDL3_UT`mFxo$9G2tNc*HVRpZk8EwV;t^b(4Q`94cDW#jSNog z;kwN~eef39ot-{rALtB5MNmnVRare=4^Ud-H(^Tn0E{y}SV_PeDRx_!ZQuI)Lk7)q>M#@v2+kHrV1}C{3#AjOp~V zak~e4p)v|w>8XK&SIw6Pjyyd-7fR6a$Cy7moYD%VvI)2=6QR)pOpq!o?WVTjk+JWg z4$YN4_)MFR`u1jx_)g%JtpOqv+lMAc>%lz+z2!2zYN`$lr_Ujq>H1+Q0_Epd-L@8d!y-!DE-#@ApErVTbTrCpuyS;;{xm6hiOf3i3fP zuXHxw%!pp`J7IfCPw>6>L+TZCI4E|c1S7?BZD7gc8xVjT$hyfFUC0-D5h^Q`bs4ln z#(L*xZPUuI=8M@9OKAZ*BYUEftnFf+_a_pl!0$Z?^j4sTi}L$3H^FkuICj)N^Jab> znTt%4Bh|bz3%ZN5v*4RscHYo^8?V-mwv{gHYa-s)CxS>IH5WfyN}`3teo)eWzzT7I zfVzrEh6fMf0MBgD=X`ww|D_v93?-7&q4-=d6-xf$AWy!T!^HAX#Q?6v{uzR?;4B9hwlI$!Z~LoVgQ+(M;3B1Wdrs;9B% zE+LuTwj<^OZ~^sT;uz#esDQ{{lQ@HDmLMi(lWBBEK<}KAy~K!`kwkIDM!wlGdo!<` z*jN6-ZZ^W$>7%4+ZAQTCt>uUqVa7mzD)_z0? z&k+dGJaj}~IjFhFcE9U2vs}ud2z&d&f@r?kn}y~(&Npr4lKBv-7WGre=HQGZ5D`cw0B)U;V0#)eZRf!9$al?DFb3@@WG7r+eg;=kh z^5AJ^Ze*tg$5S`SarmF6;^Ym}!^(%{g>SP1lPZg!|Kob>|DienxBs!oi@Jj7_h=w$ z-C!e#_^K^D*MlX7Mw*g9%FRGbK8N^&=jFdWY=Z^&*xn_?98G6H8zK*AB!61c!H(<^+5x_6a$o;cII1i>q>5WQqap3@ z({xpxz3Ncv!{!>Eg-GH8&}!lCrT~$*W}b`$0-MY`?`o}>%ux~_g12!*Ic^vh@`#Xx z+Fu z|4ZaC_;Vxor2I2KWVwGYDVnD;O}?=Vgc0|EaMq^-AVPMpa-HSSJc=hML+8spugg<5 zUN(Phr~{sjC`w=^&dtL+NT620R}F*6lR%|MU>C@aZC9V#ag*xYnk^#nh-V`9aABpo zm|$H8C>#!|W#N!ocR+XgAybJ%yrB+%grb;y%g97k`_AE!FZ3p_EY!{Nz``KF4jO*R zk!{|>o916T%8^jG^#?IDLM_varSNIBvs=Ac2PY_5U{wp8j2QO~FGC#()@(PgfDgdT z8&*UWuTdam?%6sH4~6Z4S&#Dp9jQVY;2XnnD8{d!`j?B!L=5ZtUpVxfX_YYaTyQty zNbT+0dQg|>^OClrBEc^*ya5Qc&^$U8=EIg<3f_@@M$MIRP*Ze7W0*O62EVW???*+` zkU#hO7|r9?#eUVTnllJdU;(JMj@aJ{{*UzkZx;Nwx8{$IxY1a-hsTyO1g!$MyEAi6>@epE>82s3ju0|ikB(z`VvSXwvMzTUthU* z>)_7T!)~dyxmzy~da(#>ea`4%>->qL`BLOIdlXeZU`V1;3UCJBDl&1xm@U6{S-p>& zS4L2ydN+nFiiOihO@PmzXvJ9KKs@^RvR=L3awuP+NDZ((!^F}d)v0)LX))W}iLS#E zi37VE*YM-jah@Krz5TZOn7~5dQyj9NWa0`O-HgDy@W*F*!1x)V9-;7jHBQ@V&$mO| z7@J)kTbyxA$#AGS*B=snp1m?+m+BiXGRm#H6 zlvIq=1fl%#D2G4xm!hbl#GjFc_fizmE zHtW5|2SxaK)+IROv6J}b^Pde#BIp+eeRi#u_w3(p+!R{)n)PA?8h5Ub^?3z)DilYJ zCRpS^c=o%o3uUTh8)PxMqg4=j&_V%6iZ1JzTd_(AD@Z5LSC zez1xgC7mfX3x&g$ZlP<51>yNB1B_XOYot_ovF2>uG3_XvrmXXltsz;2P*fD&S*hE3 zD|2NSq2rf36dP%!+0|ZeX^~U55L0m9?^9sfFfH3d@(%~fD{h3BovXL2^iP6Bu8EJ+ zOL}ML$EddnhfZrQwvQ{fDyUgU>`D}r7wagj5TuR{DD$dwiS1$??qhaoucyTtoY%9% z-|08s0A_8)(C7V`Rl}d-#DwRe2DTfvna!7cjHPr$gKocx!XeefkP>JMtKohq-vf9T z)a!0>>}9s;l>Xh@sMnzhO)&xwQVlT@A33c(fdG_$&Nr$o9GH2P%Gg1syx5p^gs0MQ zTi3?6JCS{21@8k-evA~&!AdD%yH)*E_GS!vWp>!VH|t=@Kg?uvGVRXfm`CBSw&ClC zGL}7~CYJSL{j}B^8=KNkqwFJ#Dv*hD(QSJs8HHAE4i&xm`8!kn;zolPIZZ#k*z3gM z!`!#lyT*>bHJxg80~Zl<;Oebd;R$z{@_0uSECZ^PZXe69Iz;U&=u;j0MG-DRei9O* znBCee8e3IhDUmP~13tBA?I*t)f==xp0zNsEMj`D1pB2yGIeZuwQr=eU7L5;FrEjJX zn|RHthY#!cz+b-4I-JIW1qJ-HZ?)V?MlwaR#=4Dhr1xD zPDX%5)jFm3Si*yv^q9S_ls;GsRf%wda+^bWd;G`tt|1!XW{F9$GY{h63{@|?GY_mR z7DP?0%mt{5O`x|mzBJYN$k4NFcfDudyB-^768_0#z?UbhtFx^jt8i{)wR~xPboH|i zdgXM1Lx1*{uR7@D|Nhz8-{w-bVg;4diicbm`eiT`Sh8Gmjx??X_dBxib`rjtxrfNmmEuVBtII53^WbmNKWJLQ zr;N2H;oFT^qIT*}O!jJVngo5^x)2>4R^$UW(QscMmpcuswZWNWcuFY&cRIO=u3Olp z;hOfnSySFtLtkPPSS9y82EIne>pV?wmMYrg?|$+fB99^WvFrEOJ+D9XV!}$jxzj8=<r2yp&tSq$SS(O?+>%d551gJU=-UaabKzWZ zC>qSmE1E2j5-4};Z`De|7IQIBhNIhI_=u(5wE zTxxW!qWWW@x`G-9Y~Z0&&Pp6XhlB3>Jd6#^t5Z}lACo^MSSjFy%#fqOVuXb~R6Fgv zUGSFRVOyJ1^xd$kQI~ZCb{4b*dQaa`JXRfhF|Qk;35Vr{y|YRC8aKvx#|lbNs!u|@ z0x)F3O5&Pm}Qb>g?mT!TO)xg=_teobyz$UaV?mQorHjT8v@@`+)wSWzvc7N8RpPaUdq;)13|jy5lT^Sl z`Q>z>NhAL?(d8FD?OzzEt`_#%0Qe`*FB}zBQ+tcw2&+JW29P`L3bp1@g*n@s+Wk>S z=g;&NL0(>-r*@`RE=&Oa>Yvyyu1s9~e0+aYdi)sXX}~2cEX2emz%Rta&kJC=^6~Nl zsG*O3p}@E@iSYtS+&~9UP3>*$yzc*j>dMX|W9s-?+hdP_iNfl${ITKB;>J?{;0vD z+QgN0&BW8}EobzG@1H6ce%gDbxnoxQn{%!911{MJDM7wKDeGreai1+ssYFCBMV3*> zg7+U4$HFV^uKMzZSsQ-uZF~}=GP;2nGc)9m+a@ z-jh`?O)4#D6u7V0+pPw_L|#Kqo$h!%w;)l^@C_b~vj6TR7V0A5Pms8FX$VDr=pKQ@ zoF?wm;YWRcYAWL&AkVyYp43RT6+FQ`bIl=@xs?fGE*-z{hzAd!Ff*z9x(m-w(OI5d zV>#k5&spCOI@RyI()~)1%8{;K>h)H}RO#q_lp>z%p*DlWnJdb^8kx!GIQkO6O{xxL zdJli1O7JfPPj#z}R@vU$9p~JS@9@3(G2se=kE>3PzWILO7Fo3=qwLWYqOgbcSeU)U z&qrIlQTswRONTd9+E2WR{DkPH=tQfyumV>mFGq*^i1@&H>a5@g?{7bx?Q57Y&F_h* z{8A9(yZPdoJHG;kFFL{?P9}F+W9CeCl-uIH+bg=aoocni{G3i{%%1THK6L-y{eB-U z=5o2_bFW0l++myVmGd^Vkx%JuzUXBIwBBY$>H5EHTlt8LixAxjz8uXZ|I#NU{&U|m-!*in28;*D2#4^#&tY!4kc$a=?u6%~t zu4aKY8?~j2r_@-`r3Q+$)VWj-K3UPH?lOXm!uOMut~63Tud?aj>u9&1GUMA8xNiIL z{$t^KB?{gIkyrJBlj;GR7gb&SA3gQkt)7>PNK2Kl!*~{pN&nQP?5($Q>nBy#~QGlHUA6Nd&k!kf(NcIa^QsBS$VW9uJOVj8sU*u=i&mlCDs;T!G zudz{{3ShY)$#&tYxq=z}oM&608+-%nJ1~eDOm~{I+!*!Ddi3MIl`-K|qh@6}DZxr< zmDUG$_I|4xrc&3&V^l|Uz3W!EXNZjd{`?vt&MbA{9P7T~gfq=fA^<8T+07fRIblrOfsz4F=po97>Yda1FV-F@6 z+03J#`z$(zzW9fFMs|LNa)41M^sTS;d`lM8Bm8Tn?^~f7m-&4<;QUMZYzSg_uzmhO zt+AVhk)_G9wA1LxrT4ML`3L*Ci%NFw)I)T`Eg0F=uMKiE*OCN8-b^tXnurOvDQCt> z#d}I@+s^KkKFj5I(T0-xA*}BBDK^~|E~zPh>Q4!@oi&IIMl;5n2^}w9Z&{Noy@~9<#Sr4y#mKytxSf$i04LQ~ss7F2%cp=TpYxi+!}^ z^#my-gSTeJkF>O2tWVO!`smXfi+z8fX|Rf zu_P5Kw&3A4+V@{t`-86TY@10b=r3o0nR1LjOtXzO>k*8^)Fl#wbK^$O(^sWRCL&JJ zL(e8d*`;s-MoX*4#e1N<)*^cQT}zgbWr-hV8ph?wyICky%;X#4Lx zSQ!P`U!DEJQ~#6u-*y;45C4O+e<0fbO(r@9qI?FTLPADHJU|;7UM_$f6&x&KzeMWh z=xAqQ|H~cV4CoK#O$|~4KwtfZ)2+=YrzaHYQilsP46nTryy5WZf=qsA76O(u>V!aGAc zAD0L(-(BeoOiWCY&gPcl>atJ&x)1m#b=TU})lr;>$HT*e+e3gG=4{2oCnhGw!^_XZ z&(8(a;BxVDa5eSha&Te(vys2s`TNbXfjKb!YS+{Z=H@DO_wKKb{yF|6(D7e7a&Y1P zgII1T%$^6hz{AHa!1GVbz>ShXq4+arn7JF&!ddze)EQ=G>dGVwyka(Xb{5V|BHX;( zLQMB{Y#hvC9xm*XzZ&^n|F7O9d47@fFC_lK|F72nqx}DkbWc;qe`NG;qyrZK(s}=# zbf8dN2H3{Q73Qo7gV{+x(||hLIJydPo7>qjXRAA;d zmR_=ehD!q;y9gH_Kk(D!6A~8^5f>ET;uR9-<^8jff2sK&ZTvxq#s5wl|5Ee+w*1VY z|A^1=|6%XFqndiUy>SbQihzL9q>6y_8hVi~ARtA0uhL8Cp(uz{K|nxy@4a^-y%Tze zAOu1W5JKp@(eL}*=lk4y-+R|@t^3b!t$SE2oY`m2%%0EQGiUbaBr|RFEG zuK^W^fspH8Av8A=viu#zxr&)!hFxnj6ITpozilkS@y|>~nJwV9L#KB7Rg(sW2g{6s`o$CuRE&zZ_`7hV8v;Nmx|C0V|iGMWu z_mzua6f*nE1^!(LzdcXg!uemN|5QoL74uv%0sdFj|Lfg zd;5R29L8^zl!T-m&D?%JE9Ird+}y0qh4?HvdANB6Oxbt<0z7QIW&i-20G}Wq8y~L# zpE)NVzd3;CukP@#_x{bof0Zlm0CY8RFthkaxja0)g4{e7m?F)&1=)D{&G^^^x%oNS zxG-x$@bj9OnsE#A{OKW>a{qSkf9cdm`{=abjw-Eh3!~eqdcQpRn^Z$kGzlG@U8U7cpzoYTrp8qdg|1Cs+&+uQt z_2{2*8fIsB(H9<=DC|F3mg}E<{-60YX4?9D?)^$y==ThsiyQL?0Pz2ryfbogGBR;U zxVe6Cbb0mHv=2jx{55HO_5X?)m^l6s6utU?1x5P*h>G-?m^d(j!GB%9#_wtKt3PvI z3~7OjX&NS6#`Scuu*7}DNyCTv__u?G4*=i+(EPse`vCB8{-%k3A2bgClmRf@#=n;d zV501QC*$Jz4>CS}ZcNzyk9@8!CKxKp1^3Z!^2OTQf`$*H-XqNP&&BmG?YOuw+R@X? zsL0|z`u_v!0-S=FknJz4|L3Uxlfe52OF#qoO<<^T{NWvFev=Yve-RN<7z)A8(dy5I zw-QaM7%K0te}AYG8VtAhNBEoXz+C%7gJ51L{Ym}VkV?&fL(TCwErWS) z#-U_kZf)`(Dp#`rI=Z=-SpaE%6Jh_iNx$3pPxXJh$Nyz+)G!Oq>G5!}^9gVY(C`Xk zzJtmuh~e=B*g3fXH2eTTc1~V?0}f@SS3J^OOcFZP8;nnRX`@Av9rNW8<` zqKr}Fx8h=$;~!n-50aR^qxVO1HG_YX|3_lzJw~^G@P3>;m~r7hq5l3dGbW>iG7aDl zy8mR1-h_4~fHX9EDSJ5@ey$Q`6L*~owA&Pi#;vhGp>Ba?e!#L{4cA=2x`)M_CBocA zl9Zj1H?38wDPPOg79*vEXKnM|axDLQTKHMq(INq!zAP)RziOEl2-Vjl48G#;#amuqmpVo z6M;BVYJnx$0bf4f*9#2B#`l*k@V4QIjFKNtOy#rpv-C4m)AiGJNDH={0zdpLTjV7E4D@XEdi+bY zs*09cDL_ZYa^@4t8x)%W?F^CWGZuNagi-iKiI?~SCS^h9*bm8u6h1?UPw74 zRrGn`*DZeK2^-U{nG?k;f${0{3A?@9tK%Cx$kY@uiA%-7LG*Y@W_u=|QuqX%Qw_+a zMX>pBPM>0rR*A3bkW)66Od1EwOu;6W1YjK!vewU9ow{Yfqci1cOuD|-R%(2LTeou* zHZZChzQ+(EIIG{?bGh(XVQyijxw&##jreJ@y1@J+DUY#o#CB7BLy{{bs`=YgO)9T3}6)B;$!cz6Ld3~?*r0i~$ z@cy*aLUBq$9=Ii}9`lW0vVtAU=E*A$?E3ky9mnNYt;}a9PUbs^6qvo8@lM#w&1R=lB_~hA# zd7<=3b>bPJU}AOlAGO7u-wy?s#`d#YwCai(*9XLsG{^JTcDwP|oIh}ZZj_okh51@K zFYTe6{tNuW(Bn5}Dl^E3uF@KY`+i^+vCFBT%3o^0(M)G=3)-Nu%~E~`vYXBjBgdAf znYCvP^Ujfw`$<#7#Hr+4uC49`u&gP$=lw~`x_qmRDP^N{*QSG5O-9WJ<-+zKce1aC(T_`=@azHf#0m5mCx5neRREB8F<*>=GzEr z#)vp?`>MA#F|J~JajxrCQzVjW%+*A==>wRAJ^aT*d&Y}55(d3BzBpV`R7@K<-a`bD zvLpzxk*o0R)In}uk}!ZEcDTIYpuMvw5kXb#vD zKbMC&){$_vTM&q5VSDY^N;?KNW`(`X*+SN@X)URhs$@CtC4PCn2C6p8+M3_Om4c{MsU?%Ei=mwM}#H62xy zS=mQjTV6zq2aq8Z-2GZ3pXNS46edjF zZ4ND0q^@{BM3rx>d{@t}F#%R4N>wqO>l=~M8g=#4c!0=kRl5uJu(C)M_**-t$gYBD zh;ujgQ7|cBk_50>YCQQQ_WsNt)7Gk!{g!FtV_LU7E>yG=evR|hSlUAbT=FjdmYbAJK3m?T!0FYD;MQeyRYZv{!5sk{%{(F*y~8 zUM|dWV4gkkOI1L+TAdzJz;&} zI8`ZM)j$*t#x887*-dSm;L*{x>{?BXlg!&{;Lnl(OdXSgHw3n<%T=$8DJDZ&y-?xZ z%H9Ks+qi2D<4??#OiPQ%-O3An-+x^(N*#mlA!HRD%Y&_b=A*tSjx-*8q+DKM@)~cs zAMfO;)UdJyM{q+XZ3zMfuT=-br1JWqMp_=z6$#jT-}Eo_ahqomHLwJg>57tB+5Rg+ zM8$c^>$3}Xu~n)C-FTX_@GSpo-*8I>ho;MK!w>pmDC!K?2t0uF>DGrU2!BJGMtB>$ zi1_64^&B^c*vVOA3=8DPS?OA347SKp9HWuH=FznTv7ebKet*8Q_Gwy#ZekQd6enM# zxwZ5H2x^6;%$Hr-^*!i!0|SsA;+vwn2je~*4s#o9qWuCH;2XU?!>_1A*mP4U_jT!a zOX3Dv*`pDyByI34Z47W{XD$Q3g>>STizwJjj6DUjf$oXL^LI8Nr)u-jTKvtgCN194u9qqoQ}U z#=C|Vg5NCr<)`5;Z?T=tEx48BHwqEh4TZuzoQ)HmGZ7}`c5^))?pU^b^!y8!XN`XacY z+!?$k_z`|L?Rl4J2`rHu>bt}+XFDdvS23jGf;hiV-0-3QReNrx8>=IG?9TPozK1sS zYz^jh|st>OE&9=7LW8U$CAZe}S>J`g)x?fp8y_?RD0- z9Y??(g5LV9M$f()lHly=YW)Iwf@avKE7?1wPCkv@nI1?=|GwR+g=k?B?I+zFRE*V> zUeyjbZ0P_#hVYA^4@A0vgb79N$V2c4)X$xF% zYr1qx@PKcq>jp)ddU?Xv;zO`3M%_s-wB-os2?!;KGze+mn0=KBd`GT#pQx8g#xBmx zK1|}h?qJQcDlob>S6u1|d6q6^q;7}AhYwxcX%{DANMC5be>zea3SYihgtLQZSmsom z{al|RPq^`mHTmE3nPImk2Uhm`QMft!;-C3fIhj8K4s{geRh;`8Bh`ihh7IWNqT%{6 zrx5@IKP$Zn3RG-nWKC@3No@aUu#CjrhLfthfPffxS%wr4mWp=cwt=zQa0Z8|(s{I} z2mnLKyGJO5$N(;yM-f(o6A#w(R-Ow2MueQx+-#nLTCRkLBlbHz>|&6g87Q~AQ+5wO zUj{VuP?Kv(me#qBFLuJqhbZN|lKR9{<9m=>J5)DvDjPvE@0HRiTNCr~o%m-`w|gl> zyN2I3Q4FLkmBlo+Sdt<;Pf2*iL_d3>x~zD4i_*(-{k017&jt=hhL+pAYv^a91dod4 zctw~-SF@l6`!&WJW$1LZlfm0Vx9J8yXnmy}0^zsiq_>@Z^pdDnupB$e9D;f_Cw?k^ z!6r=IoP5*bxMlSg#aRyu<|%)L;tdzCT2)~#u-tc;n-LOjX|k?EF%Gp_FEA#(|6 z?4WqWaoa zc8-&*xwVR&i5&YNWvy1aAI+$8;~pOZ>u@7)>MK(fCfTn*F=KJlbkmzh#nmPf9Iq^; z9w0F*t`x|u`SB%(9kPyKr92ama;kg4B7BGv9pd5${DIBx#2*|{@6+AWlt`wN$!9d} z|M6zd`6T;zY527YPeok15(iTAoZR-gZSDU2wj~_@%Ff%QzY!=V05~Ep@Zh$2df}I% zP`JOG!|ND-{b3F7>*WtCR`wilDvoj5ceU5na)CeAbd6}d`9GhXjrz<_iKjHqq4NQ7 zzce{)544qo$JOKRHqUggrBPtbz(N)9)xHRBy7ipa;e%|-8jg}xlCP$d#&)DU%l8nk zN_Jl&IC3b%@BEkufAp%x{s~YSiZj{FIlG})IT6W|{@b@Vj7R|@&uPVf@DDL=kRiXE z39b!fn?WQKZSlkutBFr6_6@nx_s?3ako2{*eq3LUct>v^q#Ko2P z6kJFJoJ+Qb)s^5Oi%T?$W^0;qohfrbY%Dw^OA5KEXZVl1fu?v9P5chr)M-h|9!2^V z`|dQ!?#+J2*KF4h;+}5sTxj;^R@Ad|1se#0?Xi`7%?t zZJrx`!dt%^A?kiq>$?+4z;6Hpos%sbwk0dtR#9<-+y#|~$(Ky7Yvu{OFOlU#dUflg z<4!y1!3*v!J^vl{_wjiX#f=t2vF`a}_Kt(s114Lp6-jR8Qn_TuOEB`G=Xa{M-X^;B z4%a{4)-~-8MR#00D&~Lo)inP;Fqah2k<~7OlP$|-bMW32D!`<}BSMJYPd}cHsi>+3>|pJMgZom<({haFjjTp6k!+-B_x*FV2=@xj$}d4@;NEzQVpkc4+Le+??5X?ySszY3S%sgW6Yjp8-<1N=mtMCmaLPf2Q=;v5-%BAQn~St;D%`KBV* z$n6w-0e+`6O^mPC9gIbAg@X#nvA}c^NSn5Nv$vaZuVx$A!63{jXgixLY}ST)j@3& zLou6vmMv;?imd62<6g+LTUC+Qcg%?E6)^$WI2?T2ntaqscjaOR%{ysJo_n@Ma}R zgTaV~!KR ziSWqn<8b#$hoj;j86N6uEIb&swy5oq^cRvFw??p2o(rg8Y?2Ley6#%>s#~-1OAlfTfnw$ky(>7ZJ`|zaPW6#{eh7*7@#*f#nVb6+K&i4i$gf$oM<0+eD!)g>jE<_umMC03m$nccU&cqpj{T=F5lhrp zGA@xI9beE%N%apM-@AAPOJ8)l=_1@m+bY70c*9f!D@}$xNm{Zis$yHf-ySKlR3JTg-3j{{_zy+xintpO#fju^n3#z!4jnqs6Pb2PS2g15V!h0 z5)xkatY>f(;vR-`eb0BECQM^f>1;HBtJwMp4c$av?wn+l5ZwoERfrv<_K2qa^L>BS zh-^Itj%SbYw!>MGOKX*rmeYb8%gGx%&{$LPvGYQdrnT_hs%e{x+BE;7t0JhlkI(BJ ztCWT8J-ZLJ>I>p6=E)~|gbnX|>A=MTGUclz!rO+X;wQ3Zz-501w1y8~X6Rhj#;Xmg z=;0x;GR}`P@bU#QRmy5vDNT2E;?+%y2g~I#K}-OXxMUEk3!G zo2c{hM^LJSOUCn{lf?o|)3m+blq{#)Tw1UB&y}Go$g2UjRvy;Y3(?XM239lGXMNAs z$cxFeuUDsiM8J6T7N~Fb&g8}Ls6?VWJA`*%77zF+l^p@f%j60s8&mrFK;GOn|6&Uy zZYydla(yAXqXTX7L7-cA3}67_iP!5t-kuzd(EvT`_*Zo(b-)tg%-Jui2K{{x4iBY| z=(_doMuhvW7I#E^85zl2xe$hr=589v>+@d5;@%(X;3|@K83v0SNN?XhDt5q5U6654 zTm2L$MAx78%t1SE>20KAO60eePd`M;)k*c0wy(-bQNe^c?(-hc`$-m+vQnoWBZif8284kT!Gn{byDpJy{qAE{(CW)?|@wn5N0eV}k)CV`cPVTtxq0%gG z_?`dZUFLQDUnF)>Na3(PxyZNOsO-VMcIEV@~BpM`h~)iJePepQ@q6; z!_V|ZaJqofB1T<6xD=XU1C02urienk4>mooq zJ>0EX8xro;rfn4JmY`i7TAQN17FwIGtrrH!*A5Q@6lvcLudUSP39qfuE)EB@c#=Fp z5X8voEW!^7uUt|HDrVoGp4=mDo?w4uT)A*oOjR+Pn^L$|3HosLqj$f9L`KA2iT+H) z-CYI8bGHbTfSkQrIwp%+Y73bWaaW|!_1d+OMPm^+&)9gSs_h^&;tz)4C@Qnp&ErM|>M2vZcLWPHaQfX5?X?1E{G#Yp~q^4Zp>~uGth))KB z6;I@%H|5f1@Zs}^tB319zXC+fbS{TJ)O7e{ozG<>4-`Kh-Dg1rmBKF2~{LUelm^n|Uex1s%)(t6Q82#8nm?UtvDjsay$lC6SfdSMX6blc#ioJwI(KqfzCwrE4UzF|^j z0rswVkS-rt>-ZU!x$^c#QJv>;*zCruMh|K1f=IFnohMHUf|*qI`a-=#-%W5DINanh zeu31|!-J1|;Gn0l8ssCpWX~>nm)vNA4k?eMK|tpNh>{`=3zU>rZ=vQborb}Om(<)` z9kW( zFe`i-QUm=Qj9Vm*#@LpP*#ZV;x%xhE~=`wKrUUPo?vj_AE&fhsJM zjz10$=Z`_~g70;oLiz!fdllAK3SuMGgT#x}Yy~i6Se@^AJR(TPCd!8f{-htzz{TPF ziOYOmy|Ou_d@)DlZjQAv0iD>RJip5k29uVg=!*S%#F2Wn+C=cYJfo8Z6IyP}&a{>q-3aoT%g9Rt2-xrg_q zHK&DlEsB8?+LF2~g=&{A5cf(I*>|J+!Cchebk0yAWb+!)?x}+F%GIn7nJt{@nEZTh z;vKd9^C{RIBPdg7B2}cP{|P<^rJ^!vNmqC*%vqUI)wJu1nH+pzMQ59?KtVpElp;iu}?R z9jlV3)jm6f<+9f3;z{^jdSr+7qj@S`S*_SG!SMMTONasnc1xhVrR}$&>1oGF?vkl1 z1-~3z21Q@;4OUu3h^zp1OfHum<~L}$jk4E}1lCg8GI^=^X-%+PV3Xb%3?%{NRHZN1 zSsc6oJw>y(5gi(YBEFT1(Y>A70U?y6-!F=V0`cPzY(&{cZg~ zPRAV_7cUgyr96TQB?#gqeSe{_sT1t1t8eXwt?Ry>;bTYh<*Vmy^?I3r8}rytD`kn(W2o0<+EhgS{AI zeqM$0J0$8n{1q8`aPgK}U(?`jYUrVp-JyPqx(@#G{mKL5cW6JBKC;!aY1`4-)w}7Eg1bw-A74Z?jrrG21QDW)*h`mu=Px`tpsSU@i^l$ zkayFQ%7zhIpepbG3Jr5^|M5X7uBiQ^yvEbR%8-vVFCB@ar7#-36$2o7Q8wW_w=2k_E*npcD9Db#T(^44n!EQPX%#wre#qr zvO#pd+G+dLlgV&K({Cie6z6oz1Rx&sONCbN#Vjb&=e`f3C$DD8)+=%W(V{HV#q}RY$pc<426}QO6r8dDmRT# z^i|?g^@*Q$_0WaXfxGZ*binJAv#O5*->#tCWmqpsm=TU6x81}FfKsW9+bPkPNm1o@ z`)kWK2;VHrrPzOFZkGXtgXz)xJ&$pK@4VESJ z-2XIKbdcOCp&RSq>Jz_odw`07}9a z%Bk;UkmC24;n8yENkS(Rm!9P&orxg))kolWkSN~BqsWrgahf+yFpc*QaPG;i5r1!2 zZyy`=C^ku4*{tuqRV|%vMD^n~o#&0?2DDW<%eZl6Rm1Gn4=j$@Erq@(6u1Zd0q!Fx zsu9%QSmP+lP}prlke83R(_IYP@Q6a}8ch~Lf~2j_c$62`IF?_7ry1s@##Q#%;XrV! zcgL2$=FU)*SZ1J?Qh1#~!26V)r-tgmhk$Q14ekR?c!B}8$EphMSkYn~+UF0=WFV#TzO^zOlNl;p7rdlNR4M~37OMNy zE!SYCG3h2h<%zNxuXsUK+sx@VrK!5=wbM5E8QI0^s}DxFT+#f*7Y|4HUC*2P-Ua~i z4IKSBwZab{a~{h?pFB>(JxYzT1((VhInF9MX`TW-TAFEtGoYP~IQw>yw0*`=7q{P* zhtqGOANWym&Pv-rJl2+-UFQ^?Hng3oyZu4mR#?3&27{))SP~sK-$iQ;p4|!X^H_y{ zj+&1mIKH6Rr!-6O3=k1=qE@1ck#xcvf~QrX-!KYL^SSujr?`e>K<#I|DiiaO56=zi zyJBTd1SLliX9%f}J@M%58dOA! zsd08&2<@gvdWx4_k{PcASbPm29W?)=k5`9I|{IHfoUk{aU?IwFbc_IBqtpW=Y;nl^sQ7DzguMMH@1FH^^IA* z+O=IiSHf`2`YC&2y$#O^7 z-SRRg=w~*)`!BY-tuSx0igm0s1Bl=cuF{g!@bsidNR(Lv=UQ&Y5KAM|5*2-!aL}{S zb}~%E!yB+lQz(xw=0gGBXn(v2E)Q%rw%>1*ZFun`^;(8l<47nAimwar5WX3r+eEAA zhW#Qjlsg95CRDHV?u7V7v6KbSw?uBDvA}O;dlDtV;3i7!+!Ur`ke&;(#TJHj1At)R8aFUhZmNho3V8?;B=CA1EK>9`p5d*DOjJ2wXL`F-#%s{~T z=Q1$9cmsyE8+?*zNL2IP`BFY4H=!%}NKS8%mYWHdL-BClv%+a1NW6vA)=_ zYHIYnTe}Y*AhZIEht|>RvJK7RHVHWprIKt6O-FoK5xk7tZW63gHhZhPI|Yf>VJ#e6 zzL_$>=lfN!yLZJ?Br^Af5_kTF(Y%Q>a8HJ95OK$xPN1lElv3^F;*(2%1ay11y^QKwCw%Iv$o6?l zU#PFZRT1$*k3e<&{2*9QZ)UyJX&*6$wPtIOlrc73&|NW)bqL;_TqSr$l9_*j$2P*( z^Anrtp>E6hn@683&Doa4#PE{_Pj2?lj0NzmsrP6apq(T~ncz6pa9quINt@rbNszc;w)iv{Wg&j_9#=bHz#nh7nljMc1zwFiur^wgJ_dUUuNXt)X|1EZ8MM)W5gdR0vwycbveCdniI}cyI z3Xk6-a+c_Nt@BieN<&}mH8b&(?1RP(iEr;EhzW|@DK$957E+}ONbOlG8}2>6r$bH` zs`!*3;X6+PkUI$mhVnpC5r-Rvdq{((p#r#%;B*bG@1gQ$4jcr96@ zOF8}on}fvi;bL;D{_GbD+x6}jGc|#p2@{StLsU$|Hbz;&Vp_*u*$`S^G5p5|GLcnp zKGqS($$9jG`ACNIA%a#51vaonTYr=HdGPj{*Elnv{q(JR70HBV; zrU-r@GzvjVEZ5Kw$olSwQ}{XpY*sGwAR3HQDz#%Cz!OUjch?mQ7@frOPAi*EAe1fa*5!(}(ya#pSGuK{1sU&v zmHIf&WM;;JGs;TU`NYdcnb>uEK}qqvY?B5cxs3^{WHID%YA%An7u<4_QvN_ZmD*0D z%cFw9GM3v4$bR(YoK0bR^A1dYFHyljgTO_{>67U$_<7@+d}zl2n&#$%QRep^zp#>6 zt=x!#R}vf74I-zBLE^_H8CHEQmNE|kvjHLtZE9O>)$(a?z5TsGs}e zzAz@OW0M7#W3&-jvI1T|1tTy;OX)j?HnlS!jzaC3bL??FHzwxL7f63djenNkCPzhW z|ENrO;al(r6usjjul~j>a)ZYBZ6hiRoJ7&qA(eYSwRVDEN2lSrOhua*XU=SOoNWgZ zWDK{4r;wQ6A-kH7#!b-a82E+<8}TKgEiQeYxSfyMVil3|99-)_@&lzQn@E%(avgJ5 zU;1dHhF?x3xw4_+y_L}c`vgnEP5G|nI9D&)vw3g1)iuk#Zc(P`St^M!G>hgL=DC9x zM{n$-|N7;kw_^BVu=8{Ja>dzKzz?b3Z@y?H2Syv6EIcwmc79Wr&1=uveFKxoEj%c1 z(T1@x^uL>_x}P|m(`x8<_^^gr{&gGS_eb5J)o5V$#L8s$vp%mN>xzMWI+&`KdM0@7 z4y8MPHOc@&d=u>J{Y7C}juyaofxO_Pt zl6#RRQ&!AljtP@IMp8u&C+XL@!P5tu9LJ;Q`d}RjDf<=@i)g8t@uV7(sn@lt!!-K}B+|s#Te?N+Vhzz1zu-3CMg};T@ttXs z9l+t=nh6$mv?DKkpb1FShx-(vG!zJGCq)RfaiJd5(VEaC|aAcaNrlJ`#}8|X+mM#i>2 z2bFuUrH*CWGuw_SN&CCl>Ce$bz3(WQs~xIe6Hd9=XXR(-4|56(PZPyja`8=7Cp*H^JGQZ%ku2Cg;_ z-EZ`Ft>xYgD{f&?7C>bC*=9w)@;`n&b#N2uM;rY8bKV2o8|q(&!d!=>`5ubi@Ei|S zZ#hcPSr~Vp70Z4hp|4awI3d!f)iHK_GcL%-f6sZ>ZCz1?{%9?B08V+kPfO159bVJ0 zbX)a7-73J8+hxDs!NM|y-sQ*0lUXr$)+&hvg2h>@2z`HuW)HP}`t27`qDzU%L!+7} z(pP@Zs6TD$@zCD+OhuLM!=}1H?Ia)XRyJeNcaBtaF^7f;o~c19YVH)OcQyJ&L*RsN zr!84xXo=$`2aT}n-CZ+Wc}lrxtz(M;E3FRN7Xr7|0!{CC-rWB|gMjR-r666RZK=i7 z)eK?AVnZL^xnD66CBo78%s*3h%kOutR{2B#hdt25rYXpV;CrO?hPI4I{O7a%mx{qw z9Vq?UnG7o)V`Ini*6NLR#1RkI@}?k(G<0sFa|J&kmdjAkoFGL%n$@abyQJGwddSG+ zr?76sji{?&$XvR(Iy$J=Yb0>smQMAE%a@YGLnxVAXSFM+P(nWSB>?1j@!H1WszY+* zl?aSSSzAQb+q7-|op)99*n_o2{%9b7qap!-9ZL7rG);JXfvtx`J>3Qs=QxQx8_(*(d}x17i%(ok_+Rx$rdTqeQ?%oCt{Rfcw0&k zRl9zE->>s-U9p6Me)zN227Jl8=-}ym<*XW)CDYP!Q^0Dp3KGW?MvRXLNp}LzS8L+a=^Fe+!N*NKr1Y@7$i=x~kmPtZ9;N6@wJ~T!s>Z6!nx4`NdJQf_=>G zX4SU)a~5R;I6l!i%Xis&D*7+fzA26*R-!F)>5y&pbp`02n+bzliTA1UleCeW8Dp&M zW2DkDAtnTem7VIU#YxIcutbqBIxK8hkcPLaQag0l##L^{Fp^-6pGMV&aNG{Dv29h z)b29MpDS1k?;!AqA}jJ%Ldxkc8|RN)oYQ=1F@%c{7%2cZPA{p-h9C}{6(g-89@F5J zjdm|uKlg2g4U+hBvs6Yp{tCa5LyZyPotfd?;MJAb)0K4B4EFH^)PUnfl((s0*;wKW z2)Wn&{^~8Xd-E9?BSg%LVW3(qE%V3@kgjz8Wxbva>?MffA`9E?ON^;zup0H@v$kAk z9VAPr=I{tueC86|xAeke!~^Yny2zAdI(Z#ehPVkhy;bUG&$g-(FJnCw4@db!W)qWW zk%X(k`Kz@NKvak*1(AT^5?kU~P~meOD`PP4v=LR}h!?FG#7`XAiMw8cJZw)b@pqhc zA8FoFrTsff;J$;N7J)U#GizsYgw*9A>~J2+j*#_f;8t4@vSVBw>gB1~u9XR)MZ{JH zh4ik!bQ0kaOFRodw>M2aFtaX!BE5v*L8q0vL`atXnJQ(44X^2R+X8sY$sl_*by%Rv zYM}r4r=~al5V5PPRXaRB8@Z#mAw&_k=c9CLLsA)Kt=CE+bjt*bV~`?6Of8>4sF0IlACF2&?Om%=Ho=og?GU^O8E?a*)=eIsEQ+#=~~; zt=9%Q9AGOQ1U0Jba#_ZM%8Mk%Fk(h^8 zJOnIFv9eaEOQ}pFHn~}}yA+X=j*&3Nr_Ye%X@W%%~S zbN)Sx)PBbVoGb1TMdt%4p96UzH6znGDJ1!pP9YOe9)CRfnAMi6JlZBfG`clL^m}WZ zXaXYOvbm{j>J{FP(~t7loLhJET0ZvU8y^)S?`o%SzYv>L6dDb_*-3CV3{w~HXWV*o zr3IZSb|Wy_e&d^{bsjC2>yKe{A06+j;*(Ex+26g}7Os$m^d!Q^p18#Pz1=UegWdkV%lQ2Y7~9 z-$#ZUFjS2E+-olZ7g*hb(?9kLxhuA%bD)wmz31Pde-Mf1zo@gIAwqNrr`EMD?IG=o zlmpp1N`IlNbEw~138vX!-|sq1(#PL$e8W{0>2^Un9qieHa@laCYE5#B_p6LVU0ug^ zc`@Pnh2V?H%bmz=aF>Uls>y8+wv0y|GhHRm$e;$q`mX!h`uzsP2CrlNPfz|6oO&z7 zreu5y5?nLzbMUV+Tb}0o#i)#*wO(%?YVEven~Xl^EYwY^#K z!Cat`5c5u!T32^e>igpH8+y!2!i58A>o4j^;duf4R$I&Go`obA+)u#uKNStg<3f}8 zSAC|Y$H+p=HX=0LIVy)W{Nq-OfPS-xa)qK}tLBzxIlIAjG9rh5A-Xy#Q4Kk4`cnpM zvX^c%uiV5N?l`-feGeNJ$-o^{nJhzv_Efitz9Lxb4W2TlIL%&Fc>#9O&3RNN{#D?m zpyXb?P_QTZi_;X}$_vv3Q4^acsEkj(pHAj>JIcH3?yBVXSe3i0BXf8@^&+ND8aND0 zT~Jq`=3XbN*`wc##G;s;?xcjPK$J`=glMm2($OjP=Y!qESBn&P%B0+s6<6B$c23h<@xfZyQ>F-&i|Xt!of1yG)3@)QiGwCh zNyHcU-AUk0E4n`$Q}CZv)VoXZfrOm}lWj8%CD@S53mvu4TFA=0$Kt?Gf#YBS^{fEx z>a6Vg932F~096JA_NxGd1xh>h6#zN-For>wwqv99v%ySZP*gp&%wh9=F%Q|+=__|??wx9UX^Vq{4?Mu7Pz}M5?Z{nWZu)=O3vFYDeC>MK>?da9TIT?1W1PiRhrro>Av2L>} zaQ|CJha2qQZls1Sf4k`Nfs9^9gXH&F8hc@Wu^^RwsYb^2>+hiV`+8m*$_7LOR=FZp z>t`&Gb z*)`76R!}vuhIK7wXRrp^7Ooa+cEowz!lX;TH{5%=FHFGP+IDM=7)ckW0wn0k?|Pq9 z(UT%wdelLyP96OC_~GgPHXy{PODoBN!@%J)&y0)rz2)b>Sig9JM-y+>ss=g>bB~FZ4McPO=7f886H3^AZMnk?G36 zykC9mF#tbVk+yPj2HEgDUfvWxC|o>MZYKjZ)<*tfUE6gs#{ZFIaV54@0wG7G-AYyM&0JShH%dD3s;cGKB9V2+2%oY0&=<`^oR4Zo`Gfv zufGQoXi^G{CeFo1*mLav{4^p+bdS_m1^>*j=Zw9Mqc*_@+I925)&d=iI1^^6*oOzg zKr7g{v+%K@GJE_&(N$Krw8n6|kPn3jyv&|}^|zwxKO_^5NJurDog)SIN|*>lE4pwO zgHmqeZBll8O1p*Z!(Dx?vQ00<^^!Z{0{>#QK6Uf+)ey~c&i;-1^gF!GB_rDo!vamP zO^6N7PWH{uO)%FvB;r~zdk$ML$nQ1J4wvQGjh))7TO4PfBqbmjf|AIf4ZLBxpG`b- z=1S@Id7sUX36=GYpU8-L$m=Is$Ud=1d`YEiCSfP^U1BvxG&qDWikHway7r}MZjAgQ zr0OM}p}h9SL&0p{Pnxg3P`neH$vX={7ca>xY2d4cOutIEuv>Tg-W5pZ^VzFGG}O%K z!4m?sGRKu;{sRIWb>+cpInlt?g0_chQcm|*(~rVWsfX_+B^b5d-Z@zIj%YmN_&)$? zK$gGZS#=in?c_L#-+au*MAJt}Tx^q!o!vc5VvUIyOy=kSi7)j;V8bhVNnGb0hNYeD zB(cuSWek3Ibhn_K(K7%;{VTtYVXa3O@b9=YKBdUUxV66G^-eCh(}_gPV{#tfHQ<6j zm~g@3W8dTFHn`$un#vf*{}qWtA_TCflo9ew`Im0COk@{%x3ZDLo=N&IS+j!f#)f_E z*I#8*3;Ic%FT`dak)9;6w44xDlr~M`u+H6>A8Ve(V*Qse9>GNtca-O1f`@-Be;09W z3PJM!r&mT%Bn|We9NIDxX@CRZ<}H4Q2Dkyv=c4myfHRoIAp0JP!HiN1<>VPKdzncU zc^7DM>C8j2Cg{}_fzu#|N*9aD#fhMT_ zu-6|?j0uQ=4`E-F1F9`LJ6aRf9L)}zDd0nxYv4neYv4neYv4neYv4neYv4neYv4ne zYt&b$-%zij9!9;5dLGRJnh`WRXr|E2f_(+gfPDq;f_(*Rf_(*hfqexzfPDqIfqexz zqk9$IUFc3jcOAL|(cOsdOmvr`I~Mge>UlH^XhzWNpqWCmhWY{Z3F=AItEh)jZ=;?^ zvw->&^=K=z6Lxxf?1B0Mv@N*HDi0BQiiLxVAz_Q`^CInsd2W< zTg~evY(ncQ-D^#V1C#bz5&Y>HxmmnVO+RVL1SVfsxp2>`VJalt|JmFoO)uZLM&X%? z_qN8L3$J##*k8Y%!+%@8b*(zPa6FB&xTeH1mc@FrMh+Q&ZxYn5Z!up25GM8Xu{?$H$o+@lpbJ zoq1zK5WZRJN-OP)=9ybv%C&8~?%EyS%(cC^K_RbSpT1WoR{6Blcx6RQbM}qPIf_ZY zt2Q{iophjP?{nHO>)5O(n>+u&S0G+wM^|@{S=mnGe2a0W*_fno+{h{Wm;{+<{gN=B zWL}xE+GLCqrlhp#&-YLE)W=v!`h9d5u<4Z28G{I-TTcr?r#%z%F22_!X4uvb=q-!slDh09orVE}ckLrmTCRB|`tq`xyGmcn5^ zdPvn3)j!1NsQJn(-=Y4V+0Fy}DRG;tzFMz4K)GLH#qG6q%c{_8kHWjm^EAZ%F4xa) zBSK`no@_7*pmZv&v#hgoovLy?LDi@T4?2kHE-Fyy`|{$oSM?*kA4t- z9(4T#L*A~;hD)w?sL7eA4}KLoO>_He^w$0=k91crJ%jyRI_iMN&?2UeY#=yVtDNSBF{8@eUp726Qk!c6;D z&woN}ufQq|L#2;InFv~!=iTuA?{ z)}F!IMT{K&AZ&RpTrSwUk9To$bYwDKU6C=}D%gOr^|;PU$2(G1h?mma$C@i*n4G|* zgD)gj+Rf5OR5VmQl|rM=&MLH4NflckF;uR2yOr+nCLt_?g=eUI92UbOBXtEQR=xL!HzdrPF{&v?HJ^ib1=kvKbzBg*v6bp^BSend@T4(HImM7`v| zPthTdipEC7*X+IjqR;wHwwjJ%NSkPmZ*!oxap5$z%~I&;bWjtu_=k4gwslOoAdRO= zqo#639s;%sQ_@p)6SoZ=d}?31YnrokSLgl@0SNy0XC8LaOZ(l69u$0V{TuMYz%VCr z=*J7?r3!<8fjpEKo51GY0-fRa=I7pIk8wPjK<)m4BH9={5yf^hlqIiqxZ;HP-rr;* zDcm1Oz}Sc+0Wl=wli6z&31X;P}IU6$i2iR*kfsH%f15;onouJL^~i_`aAGW z9AH~W6BSEp-b0cKJlM;2-*V0yuQetmh9U{BFpNm-;#ng%)aqvAt7v-Zxk5(}%0*Aoa*NK}455u7oLQ7&A74)2 zMf``tbMY8Ko{M|&7dbP#_Ug}6S@s)oSjoM=POT$oHNCUnSUu|MO@TwB^ z`-5(o%A5T_w>GB8Ca@mK8Cu(auE^TygvHqyHEH5M~?(Y<9~wC#MU*Bj_MDJ zm1=Yfjqfme-qFcdAU9i_D+Y5}M$cyz5Y~0Bxd5F&u&}LWvlYQ*t`^AsILSd*Qb~SQ z4o|}|g|?suAub-_BhiKk;axZ0J#~j<^{x{nYsz^AxU!UqAZW3`8tG0jA5O5j5`#jG z`54rNg;MY@P+|}3W2ByWNolQ*b_S&xMGH3p>8269_L?#+<00 zQg*V?IfZw10D#FmUa zg&M5^?LBDqg;tHYoIIW>8h4kN+BsmNow-i&D|GC zM(>u8P*y`ZwnUoVeJnbhW~-&H(nD%As`R3`0ae-Yr%#u%+(Cj{{&IZTp9gRG!r5*u z=jK$hH&h3m;DLXhZk`a_H)>a)vMRF&t>vCB++QP#Sn>kk!Pch*K^8sg6S4pK|A!sV z@DhT5m5*^0E||{n@yfIgM?7qD54y9CUon;JqE19y5g!ucm~zpbfE~wz+!PGHR+c8J ztnu|oo4oBnwiO6B@RedFV(RU!>GI+l(kxI1@eH#5}ES?w0`zu$z8ViAt6Ex9?0g}$+W*`b8> z%XTwk!)k~bnL&G{Dk_MSk8vld2wWc)R6syv12rNYbP5k?-(+}z;g-S!>`xaqvTl*N zOnE@-8sUeilq?TN*Y!?VzRGvP=%w>E9R~o}WDiEjvhn8C`D}^c?XhgXvBVcZ#hcYB zWZQq22DXzt@C8nKct@HJY^3)`A#hI>r!M``PQuS<2Fb-tGten!+S1Og4d&H36sChS zi&v+2qn^sh{DF0_TpHxm^>bCeT*q>XAs@$#wmO*} zt76dV<4N!%6$z=0ixB~kW_-{KgrN)zMY!W7JT}x`S{VTS3?K?K;FnKQg9v_-8oiiv2m{i?Bb|BD@-}#NmP$#sKk4Zo zG(+G#q8NPcalwuR*w3v-KCRH4S%PQ*+qZB;q(c%Ng}=M(>^2*}97VmvITd;cZdxyo zH+hb)G{`Y(Bc-};y>T*?O4w}?ZVPfwQ=VLj&>3iNhLj<}iuKA}HRC1Ra0aZ5vw)#iD z<}nr=8hO010&cAL;fO-$^^71gu94{jUP$^+#)&mDq^AM`r_VZ(*5RCh9{8Jx2~XGZ zx;m}%Wu6YoIW*Lzhz)a+L{w+BDU3S1rgBlLEaDEnj@RU7Do_OOIZPmMAIf25tpji4 z$<^*2Q?6Mb?m&U7=iwz7wtT5x@}TA!SFKYb@HWH^tt89}f|n2li*1VhHyV;*Y(a&c zVn}@m&&XXLWS2Q>dk+rpQOexHS%J+ zGHuue18ch(0`bwjBja&ynK|W-GVEhFwPkJhICjFdyHE)O2QPyf!rHu4f`w@zFwEMFT>P2DOqz^9xH4I~N$DE7&4 zI2K(&m*4h{l<}MQbrI0KZMA?F^nAv@)jM(0pU({^J)$<9v?vFmR669Ee+GS`{U+$o zyTLp6!dOoA>=C{M_9}^READS~IUcC!PWg1}YDIQCT{)6rEsw)!ydBQvq~kvc^S4bC zc-Y3~+YdzGf%ZxI;T@$#S$;$KGQQ7D0`=7-$#y8;jKX4|*o-373rU1DR_={xOBtT-?2$vJo<}irwwxY&3QhIWqY}HptNM9(D=*Z8o?oh`)3_ zUlTIx`3hEGz0dLP)q{rDvEtOD?Kz|?!-xzum~zm`Knqk|cS@@>`+BGFO59s1_oIYkY@lCU+vCKYQj$f#HgMGD25ThQq}epcFc{@Ct|rCI!9 zh}!t)eHq__GQB^D#}kaJJ2+nhV`q_I3Xj9aEXe%ukG9p4`~Dl@gmUsjer)f45) z7!(N{{yl>BzOdA;h9dqp(mL1FPY}%z9$uYXTH0iISInlwxXDA}(Y5o%#MqqnRq4;} z@NGJeq9x&V+9RKX4QcJFEqr&k@0obft#AXnBL*d~!X;>+19R~AT_=RfZv;ueHBah; zHDlbWiaVm70d8C7-WG?EGCFiQro~Yy1X=_&4w>}vp1$KioJdE zpR59CbhySddp=zC`*M+eigUrQ3!6)U%IcBZpONP!$Fa=1A^HhGk(XuwilS@Ktj{0P zSnOwQns<4zO@g=(%M@vLwd;cq5@>it^3oIv%RW)>)e&j;nq5J!)NJx72lQ^LM*ARK zHQJX^-*ckR>u^Lso5`h6v91Zq6|}Dd3a&MjDfy3noe8SnohCoec?8hiXVE zI8-A@WfnDlDrXX3SNlJ)byZc1Z4r;iItCmh0na20F?XQK9jh?} z4E}5I+yNjJZRlgaiRK1$?x`*=qEexD9|%@1k(>*YIqv7|w{v!M7%V}KABjhPpwr~? z3)M*WJx|CV@O47|zA70iNJet+xOm6k^5p3HT7dFr4L2oy@t-Q-v3iKS7M64>(`U;* zYD~9GZy52&sadg#$r?1XIet4cn@vu~6z7EP53vAlA6n}_`_PsEJrpW}=&@6g>s2M4 zX|u+pdSR)e{L4!=v^E;%<~Ih3*yvmWhE$-_5xOm$hntJ^{Ge`4%CG}fjT8ce;ytIm)R4&e)tD;TFm^5>(ofh zdioww;1KXY&Ge8pfUZ7v#X}Yf4MxxlSiUETWBhY#6GP0NRbnrfdA)>+Se$O)>Zf!B zS8t}Hc(Whj1l^vu?_H)GsaD73NVVPxgwJ7-F@_dbDzGRfKn@Xx06~b91>*GWmQuvs z)zED6OHnccpXCW;mw37}oq&vwO7zY)nFPk*wYrcupVWCoV$41rDsS>Kb-u^LmXpkf z>(}HtlKCuxETKlRqY2f|9Tivhqq4aAGS&o|u@l!>FB1ZPSN+W1`zB*i4v7Wq@(vVh zP!+iEvyf1WA7qHM&OogO9nR0kH^5L(IT`1#lo92ig2yX>}XsF z75!vgs92bU{Yb1;WcxPDC;t(@@SVPQMH4RgOfe4@Png;m2SQiwSnPS~fhpeMwY*`_ z6?g~WN{Dz1lTO4XAh&YD6SbUx1S&M9`REXS_*b;&gdr-^B=itfCZQsz@>+QNw~9c} z+Y%;#Ybku(=wDBjfTcNw-jv5nv2MkSHpqmI5PPp z`If8A@CB6g5WjIK>onjy?g5cq#LmwRF`T6>rCN?gN0xS@8)^XCxIArKwFPWeWu|Yl z_9H>3zR`<6ie+B>Zd~PM*ZLSwdX_Kv#j}3oPd~K`66|r=9GZ`O!O*y6{5$%M9>F5d z>Ww;iR*%)}6C1topLll}d4w{K<=w4}gEz_t6}Hmb%&Q}`Z%J?S+;V=Sax@-mIHtay z&WLsF7&pGfd)dkL*`C=g_gXe9EOQ-wb{3%)Q-8NqbT(QvEhFrfF>6KrW_cY;yUj|K zt0v5NFV|||<0p6NQ?Gcp)hM>H zhR4bm#oR6R&$#qaPlCs{3S#M{KkbyS`0h7c)m6UYvd?zY(>dU49sjP*vC;B=PGp^) zX3yR~H+XIbyS(B#*p-r~&MUGTzEz@*|7GX+NcrG|rO&*umS>*EqC4|6{*{@G?ySa8 zw`;t6qmc{S8_gQRCN6BtG*O|tvre-&l69Kbb}r=IGl?hTrg`H}Z^IKJh_R;ccF( zim&w@nEh46$Nf+J4*ve||4H}%7)QbX2L|c^33^ZfD8Ytqpo1+805h0k7F6K^>tF(9 zxPc_NunNA&nLP=KE+R%(4pAa@lAV?aKp^x+5)5Y+a*`Iy(EwjLhJ{qlYn-MG36mg8 z$&j+RYGE=qvv86zLxhvO*d{Bq?RHgXA|a_d3&c-Xc|%UR%3pc2J&`n^JFCI`62O$E zR|GvgbIzLq_-ksDMiDL_V%? zO5XE=P-#bagv*||wvI0?P%8BzsaQnlshv}kT|dg|P)-#;PjiieIYcCFB&yQhG_}r# z9{xkwUnG%6;KQZ8po*G7jCagMvm)$4=UnMALSJpM_21LY6-)oO)r+FPK_?h ziHcRH+eMtQdxv`*+qNR9&9;>QQw^PRS#Rk6)U6g6E*)|^%^k1!X>eP5 zQ3G5iP#WYiA@YoJNxEV6P^o4g&PrwNa8~i?q^Tz)8FvDZ(xX;VqpgHurQP^7Hx7nx z#V;;wuOxauTnuzls#%^WQ+P&TTdT+V@*;5ya<}L+% zp+7bT>)@KX&{;^Sq-z;pcPuP#Hs<^({rMPbpbKPN+Y4h-ap;^F6>SP$0z9LxC8NKIhUNE_T7@?T%3=y9r9+~i}cHv zQJ2NV$*(XTU4RaF4Jzp)AAAGV!!3BmHf}clpQnk>*Pd1htsTxsXrp#M0-ZO2al$Ln zTS5Q%WivcSNIhr*CfYm7P!|c*Y##~0y~lq7Ha`9n;}OL|xhtX7=Ap<$uQ(+h76N5; z>vZ3AjHmnQW1@$amYO|$kJK8@hc(8gBBU{n5h;;S1@LFlu4hno&8~Kz(_4Qlrj-C!iC;E# zI}zZ;HZN#m*W;&yaKU?s?nM|&&9ePK{3yb(o=yh+V+pX@d}?+MD^zP@E1}Yi4j=88W=91RjkoAc6fH2uIPt>uLdX)OhkYj=Z*J63nay}rXiTdxh4gd3o=_#{{o zi}%;`W|L;r9)yoFg1h$JVAd@W4!ZNXS+`0e>pjplu(Ttb?<|0iGxl1vpRsq;glzy&bncH;rh&sq1EPh2v1}mSwzRifd z0{T|uWlhZ6s*!Ma3?c17>Qxs0zYsPp1f<#ZS!gi(C@pKvVHbx4u!b}zkGyhSjGs+d zJ?6*rb8QIRsOCwGaQJS~9|uFl2`V+PEyQVwElE^6{I#SHk~ZuBvM1hdGIpmubbg;H zCiB_r8D~+(QRJA%+??P8;aQDU4S4$6!?Iq>(MKn@%4bzX?vGqJ=QOFvLlKZuRa@ce z0RRCA{`ZDjRS&Sos(OcW4lx)Ev8ut~lT{6iKwbVp0$T0ZRjL&c74-hSBTMt=vNj`% z_s9>>7}~Nc8UU|72Op%MgQ@y9GMjE~tGwQZ5(XgH>i6(-=N&G{hADwZBU2iWBoL-8 zxFSjz1hk=DQ4>`7c)H6Q%jS2&$rmOg!AM+IuWF2qg5g<E>sZM?NRw+LcY0rO8R7^^b1fTyiRg9mXTwuR@=2hz#Oz zj&HdaRTXbPlz_)_j-#{di#tU*^YbnGa$A-{Sqau%SX~iC5 zsF8D$R_iH&6IU1q95+ASlD_%Hm-G!ztkh!}nP+V;aiaJ}#X2-3jY?%7EjW6Xtu;|9 z;_4lD$%Q3ADAf}Ck$%PzKqBiY@NGfY5COGQE>V(t&(e|EPd058N$VC4oq;Bvx+?)p zG7uT|c~x<_Ic4$b;NQTR9As`grh>cx-JU;0JM57@;VkyZwfO96zC2J_7r)$DOJ0qJziw;Ua$M33fGnE)MmrY(R8 zU)vMZZV6`!AKp$a{di(5#Cy0p-VJxko-AFG@?`15J<(0d!`T{FFe>5qc6FQ=y52jJ z-SYQI(emuYaHA6_j0-!A)Fnz(ukDeUe%0bD^s5%TYvfjDaYh{Y^!QI2(tLcD9bN0Q zguVd8bFHVA4PIdPZSk#`-kEPXS8$2AhZl*7M2kh(t}L?|`N((As1y~vkliTHdHKk9 zmW-S(KygcjL_hMK3iJ8${zZ9^GX(xX$6LHizXLsGRd%EQ1$1{;*;NP`f8U)ptV~rI z-v8UsEUF4{eNtmHM~@n-=Wqb8E*h_SXn=2!Z8Cg=p$*NV$)MXOtu|qlf==@cuXzS& z!mVy5bL#}GTfCWIX+ZkfMT8nSnz*nd`p;|T?0CySPR#bNtq=<%#$bt#Paw;;JS-z8 zW;@jysHzXMw+pv@^@Q!ZRPFdR5V){fo!GNZ>~SFQFpXzD>2B`8{VgW4;=i!@a!u`P z3MG=w+N+yCAE_3S*`r2-diL%x;Tc_qf2LB5Z}k7`nF^-Kt#-^z)`v1~HtTSi`C_@{ za>BLztAHdDHpL_nx+o^8@ST{f-)XUHM$m$N?Y)@Ri$@M4L@!z&z1R=4XP3AR zWNhnFl|BpA?2^sVK4cj9>9fNiP#UCw@5CGGx9n_CA9?j~jk7L-Yg}LmQ?&@Y^;de7 z_DIFB1U&t!L41so@l4qV311NE;6OBPd_h=7mqQ37Ui%ndAt7)UJqUrs>p{r7=5vqk zk)L}43fKD-Aq#UBHasszNmF!(*LOdyP<25MpS-PV6RorbFcV_(i@#Ml2hh=)b_5*6%>BiO*M@eDK8V!gYrpquq| zgv-SQ$_3+F&r%y-3g7z3MH1YzRTcvcRB)%k4O!mLRh$MD(+}}f=mb}+&3qNwh{b3< z$mlb-lT-k^j0@Jt8bX*ugO(Xb5@B8!nt`Np<6#t`rWKd=NN9y>_OtIoLHAKOAOOAC zPugX7nKbmC_BP_}8=de0RLkV0`rdTa2gwf8p{uTXGq4)n=iVtCn0<<>Nbd zfqUUJZ?jw;pe?9@a|)@dQ^@d?&>-g)uBGlp^d*U?Hgir7HuRwCem>v!l8TXw zb%(I^l#tlW|c+!o3TV*fAi8*xNQU>3p2beto0|@;0SsB8T=u=;HX%9;tuEgJ^z$?3o z$gIljKE9i(%^nN09B7!~p>taSb#yC3B7^v1XZ}hoZqYkPaf^9$cjF*6Bk{*;I(Z#J zEY@Km?C0vRNE2bWHd8X06pI%jDI(yqeY!ZOs*>L9*&B9dM-4yJSLKksZZU|~s^TGZ z-KhCztVv8r+a|ofCJ~F}^43~UPb_tH=rS_7eU?$p>6~J9ZyAihm$PrW*%>9<3i|+f zpdTAHE^1sV@v8d*PMiLwP+ChgE=pp-fmgX`w3W8myMffsGLmA9|5D}ug8+L{fK#Lw zpsJv43sNH=NIMCHkc}ZG3nO@XM!HcG=bN`$X+-J*Ugwq&C%)ibSmLKyRcvTZiMTCLe8 zy4gIVxZfuh#r@6bwQ(7P@?nJeFr@mu&`!BjO)ihaYH~?VTa#U5 z4;I$kH?Xh=ShRocFeph#4*Y$app+;jk`C=)51j=xz|_}nrrEWy zq1(U$G71OgN>Ml{fP?Z9VTAHs9ja9H>fF~!jJ2OUdp~IhbKfD2Q>6Jw|K$~f`!Dm` z*&6%#FxG^z6rB5ChBvc_Wlp?#LzqW?h4)fuE4<%9ThTnnZml;F%fNahZP==us)%wd z9^pKGLd9A&anZ@+1+zWKMUx>!t~okE0#V|ud`kJ<+=iX>k(_uO#y3m{98^i0IeIPEh_%dTG%pmWj|>D9vS+}fkUis`jO>{UK%_B(?p_C{ z@G}6wLP`FA&)2dk*Do~32sF?^e|_v=gFu@H{)kmgYU_deV+CVBY`xSXqVs&ox*gilZexAGq`3vjKTYNY-w2P5i&A$rgH5^ z$YAsxA7r1H1f;=)YWp+mbnu3myyP{cWPx?H>67M?41v|wB}W3jc*1FFqE*%AM9KnsfK()ZJ=Z$z*Nq}LV7qs(~lmxV{q=u;V zFfJ~qD-|kgxr?3z!4X|%Vt23#9OL@9)(Oa76h~8=#BM6f10qxD?b1q+A^*eBtG^Jf;0Z^>7 zE_p@x!0wgye|E1*WUysb0vZ+rsgFkvXuyl7+8w+J)o#O0sNVa}30l>P#MLt_nK5L+ z#f)Ll-aTOq14K!Sc1B)0KhC6LyQmB5W+IzBqpqME%R4fTn1Z#`HGrP|q!}9}T8k(D zlwOo*581AaA#z4g6fSWk+VQ?N(HF8zqLAbudN+hx%Lin>~rSgZ5onNczT|BnF#zGYipCRXlDK-od=C`PP@N+;257a> zMK>Z0YBXggBM#@kWDP@g(f#o?&F&A!if8PU80$Dv2iN?s#v9HR>`Q_gPd4}wJb?x} z*;wYC@&@j^7(x%1nRl$;U>`@<7;5rtikTg1>F>yECl`=h@-iGUI`poGYT0k-FgnXs z;9$HM6kK?x;D#{$xXAV?sE zB0VBKM0zNK0!o*b&*TnwygRcq&+MFi&-t-`b_4=ByY$6SR!%c#QfPFUmJ#Rnmp`ou zvMbQ%8zLJXxn1~fmyr^7v#@@ zt3KF!WeQl0BEZ{?NQM`86fA{UJ0hh53O%^5=xBby?Qm^`Obk#OH>)k^4$W~PH&Zml0apy@6=I#cjRwmjc)P1~zjBIRtKF-mW`--2HyA#k!iY>iIA4N8SXw231 zU5eAO%iQeYQAid?fRS9)8DT2<#Y*A&kyEY&5m9soAaT{eS?ca7l$iLM{*^@Xv{FjA zO&MPkDi<7~)nCn`qt7_z5Uj4OkpZT!Y9DbA1n!JnRG)bsYjr3sJO-Unxmbg7a#b z9(r9(ILI~x(8xN#!QKogJ}A3gsli_O5!31DPa1v1TQn1+I3`6S(2d}Cwal&15ata! zbDLDIFJ@Pn!<(Uu+Mx%{q$QG2)0ocviHqe!vtojef}O7IMRWQ|PC)V*LE;-OWb*;R zJ(hv)R{?xaQU!doM(KOLsvO;beixma+48TH{j|m5CZ4u!UJ>QkKN%u~Z(9S&(h#=a zY_{lt+}2G+h{p128ixiZUYXBsoRfi_1#?e7LRB^-|Ryox#b)^^=t)Duj*mtT4K|L!}>gmbl2~ugfOr4hXTf$ zzMI>({o4Ez_1hMo7gVvtPK0#-?KWY2@IzWFaq=f07asB2x>VR~=bY>B??$mU(03tR zEZ+WL-a~&`?ewF2>_zs4!?4h2ACp?7*Hahb)JK8WvQfsFQQ1*LGY=e?diT5WwxjZX zYB>x7H(ta$2r)l(WO3dN>Ji3mrK{0Y7hFFVtX5o7E_N=^)8clatxWEP2Mhd|-G0&Y zsR_JQZ>?x$y-oIzoQSSuVnEh zS+lM8(42mv1NV5Q-bn$~T)}cU?CM$0!@yrzoUPgo)J}>fO_de$kRLdw8~dz)L3jlE z_^r!_09yL?+*!ZlhKy4Uf!82Da`$<|u%dqx*@p9@0!3;>MjW0%%GiyF7aZV^NAX#g zhgg_H<6tFPPnQ=%#BSM!7w4e;aH@_h2e12W)CUZvJ|L{0wfZS@b|vUt$})iwm868# z%sT0phBxTxhuHjXU-{pNhsh$7XWIOG@LEXJR;!t$`7FYa0fLCF58PCc&`EDFsK|i( zLkG07c?aYP!-!1YYW!h9@EPIS6r{`{FmD+nx}5Y7Vxod1GUI(?zV|xmSvqI>R+x!` z9}nV0*CS+7SXJTSa6%=6-FTYl*U|)ryQ%P$ayL2o!R$%Zmp^>*{rEkg_y3}lf4p#Pr zrd=i%f4uDtt`yF1qXD&x@RD|#UNI{YNR}$Que%2t8*JC~%IP>l(-3Qmd3}pDUjB2c zwhPhk#%0$d?Rb5o^}^pIDED#a9((3Z2fyF-fWTUw5{`fq-!k(Kz2qORDWJAgF)tfe zr_FWO`9Jy1k;&xf;Vm-X2KYF@bZnzKZ0`U|{J}~7wGlxc<8W~SgU=Kk9!tBoHC)`{ z2ECW<-Tx`M-#%82hhyw!Z8qbLh{wC!ADytv$70=Am$>o+Ec@vJ|1QK_P^?CAxPv;;IppzPkOHzz+O6QNM^{MzkW{<9^1vBN zyr!BlB?xyDok=yeB@);8Erx1{Oe{`f@d4EX^zpbpafSbwl7CV|@yT6^PckV!=|%C$ z41dl>@t^r!1qrzP79Oe@5Q(_A|EII;!+JjVIG&a{)0BsuD>1TjHkXMC<+qn`GJx8)EF0K8fx39&i|MNtQNX*pUB>jJX!H zwGT_QkcXa*8yhe*kPWoaUg;Csu$OZ9$;BKC`G4trzJs@Bq zGqUcmLH=nk>OwwIFN%dak_M@*Uh{l~9wtT)gQ;&}LwuvMkpUZG>;+33^grycUh%Z$ z{&AYQsbi>Z&7JGam-kG!wZHqA*>mlBTiHn`?uViK{-xRZ*Ai_r_4sYQcX8BX?bBWx zG{A-!dx_zb7_o?U!akao{6YEUaNIlQ< zN!;aFx&PBzow$IxHhz)q+V&;P{;%(} z9d?apUYvJIuOBMArR@{5UohaOahbCL|KDW0V1AjQhiuC4{%FJA7A~%HRhnQ7*)Emg1KG5H09Fk2WH>T<5c9MJZA5(1!_+7UCd{9 zFIFz;DfNBz+EV56a5;1Ai}C8Wf5b7*c{d(^0OqIv2ewJ<{Md%QvpiZG@Hs2m+d!;Q z!BGY@IR9n30c}>u3Im$sGd37F%aPwR4V-a|N3MahM>!rekQ2JoaJPV4lpHl-llEq$ ziM?NDbjpLzE)Q<|BUZo0i*3-b`j?Y7Xxnnp$$)07%EQ1}PA~K{aK`+s?gq~8(bCUA zPKc2!vOx}MFLFyhk#mZLT95|Rh_s=0q!~4(v!K>=M)Uxk9las%+ndK?tXY?$`3+jQ zBib78AH`g<`XkGA9o231RRHo>-$#*trJz&xa8`6)x zbT0U$^CH%bW8s{KTX#KdQ_N6nj_XY3ovi|_nN1cl`_}kb(;h8l9^R&-P$g_OZ6P6Ea-y_303-ead zJJv(IzpoeTB;H)tpY;>FxdvNwF5-+v;TD}2OmSgD`N3Yw8+=ke5sUH+4U~Uqqq;yd z)r;7^j>w73mCT{ct<1Tsg{+aRoy?)Ewd?`e8?t9)FUcO0z4!lWlt=`3?lWuRJLSjt?pWuW>`Wd-xCPW?5nz1~yXWJPq> zd*kxFw9m+CU39E|Ii6Yrt-s*BOWU7wPuG6>pG(#L=iOed24vcf%4-NHAFSb-@_c?8KffeGnr#A2dK!?>C6vr8ftSw8gs$feyVxIKbaF> z@2w_0%wXR8p`SX@BAdC{;c;*qkcmH=Mw9l6(6zN#AJD<`TPW)V` zwH4jX*P2~_Gyf-+%x%A%9?Vqt(#gJTPrQ6nPxeDR@oFFTPyAE4KgT70rFJ0eAr8-Y zi**ur{WO^M6Q8*{gwF*g4X~j+U@zqdJ}GaAMfrpV$}_Z4{-K%bQs1`?>xHw+oXA|s z9Ln6voXc9s8p(Xhn#x+s9+15udq(z>>@isj8Mkj=Z(Xmr$KI-)*KX!ldv{kslk0OB z`jwx$Hh&Xy&t`p9PV-dem@1okW63(^%tHZsJ;^;-+aF#Ts{MRW7^eMiO$gUnqPL*?wlx|8g$myvR9{^Cjm_ z&ZC@DIlpqQ<-E&#K;94X-jMf+>}~sgM%`sU$Uc$%Bl}ABo9si`pR#Z5`x$*M`(Mt5 zoEJGqa=zr;$$6A>x_Uk`xCK9@i62<-Uz0Z4LR?y3KmR>#|BG{w_S4X z)c(Upt3PTX1&^4DL>FcN<)TyF0<%-GU7^gZsep zJi8y>-ThEKXR51v&OO~d_nzvi|1Yyi2V+Tw?o3AXWu|S%Fh_G~?G+>Xt?0#a?5*@A zZS1Z5Wq9nZ>g9gyt@ed({H@_dbNsFOC2ahy?WJY?p3R2Y``caU8PTd-{jeEiG$*;s zW_ti+w|eb_zaKran!rh8sW6oXRCwk^a&PJ!Al^Fn&3VQj;Vsx*zdTb&0K`Cvf49hV zYol$~2OM?#UMc)~&=Bz!KUlXBZTO$3S#(&+nf%XrG6vtp#sGZJe$!oy`=pc*e9xni z4p2dZwZIXLHCcvh>a}uDw>s zjE8OUfOOskE}pPYA|t??<#HjDxo`43f6c_bBdl2;scCbIj89r+QH8E#`Jd^!ms+Et zIIO5&&EK>gAK&4lM;WBSR}-uyDWKMwFHJI&S4_K%^^*|_vKeo}5Aj5*x_!WoikS&? z#s-_y%uHJ_Id21^er-QlBTr8wQ^Qk_o~Gx|C3AdQ9kjGYJwoQR42#TKM}G6D3+TpB zy1W~RI=VP9Q$9yQZB3PBR4a&i(oi5W{&K8?}s*{%yg-P(NAIC zqPh1Q*U)?5{ljVlHE6G>%LH8~( zflamw%9;bLvmfKs^}}gA02__;LBj@e5fmN_S5U2>_uOA3tp{rj6~dM+c%$w4z=Uw5Y zj|PifGs1IS^TLbVCu>c#I*c*g{`#7EbnKkBdW+W@)4K|aT$5ew(;2PS`y+JsN=1p{ zk3p_ez1o2(UKxS&zcG<+-!4_|30bPU&fN-{Iqq8WH-@r=DNV1yHHAF`ToO!soK* zarOrUPu6aQDdb$X+!;|o)ArP>cClNiMD^M)OH{8aXx@9rPvN6gPG(Pa1q3JJr*Ppn zhe4o+z{VooLqa0ql?DK-v&I{@tK(OLbL%##{dqinxvZ4R{rF3;v zart7Fx$lpkLW`{QiMJp`oU$^T!b(H^>~DqLV=1>!q!BL9d!F^xzBCOkeU9GJE`I-t zx&$rmgv@)-*T--WfZlIwX3FE6$gV+6Q#$^e1e>hqy8jvBVNYl*5y)X$kPhwI(l^M0 zoOdRFyI>FD>7ykNG04FU^7gLrleJ}(H?R<9W0oz9EXo#2PB?(^w7$HBbQ67-IE9>j zfaaiJ=}D|NpTX|3l!K@!4Xmnz4HwVH6?Z`j!1&4i9^|d(wOkK7ZS!KBcJA-AGRJ ztrvNLR1>f2QJyXmi#U{S?%em}6zfs>3^uKIw2I?A-+^~N_;c_+r1B3;>%8@LgZ#vt zg)449EjMI89%=fTD%UJ)()pQ^hJs($^0rz^xQIb)cJ75@U3dhFgbPty$2lhkwiuxQ z-pf__TNTg6@0XbPp{sJUd-1XLAG zsC9%493A;{eM%@ycl)y7tUkT|qT)B<>8G3ZW5>CSI;Xe61Mn%Z=P>UY^f<&%T-s#ol~MUk=j~Em_-Eysy6V1_K4+ zrfQ})-(PSgzVlo*X6&#Pjt$?}=`zK^qCFH3v+YL=wzdg7g2PjE*DGK9?t9V|DT47) z$T%Dsgz++{AI9qs8u{$PcUTiOXPOHKvQuxK5(Z8+XUZHg_@v9r`$ZwiGU~=ue6%Jn z7v@~8=8;Bf8H&%{x?fx6ri4Cwe^I)qw0p~IeQ#8}IustDQ@2XqsP?M`vN)y@n)EaB(e!r>YS5H-E9 z{PenPLiyBaF_U4QByTJh7Uu5Nbgo7~d; z0|ud??{#+&=m6Kub7Dpz?91RyZlmT&PnRjVJEozXUiNF-T<#Tb9=9B^sV%`dw5iDO zakiZg6C0QVz`8VBv8iD%^qZac$QdWf2{`)}1f`3B>&q4bFJ(C``+P)hDb2G6zWsmf z#GH@|&klD_)sD$W{XJLoDU(m=B=6860w6z~&2ED-mGcJ+`*7o_mGP!8M{VNMS%Z_- z{m!d*T+T%t`WJP#YLn9k+Ck)mSw>5*jfxIZ^H$edg_;+e%)ts<7?MHafOEUc=N9<& zbZ}Cp-Wk*kB1XV(r~|EzYG|hIIu3%XnGXL^f4P}Bydq?%iP7+w+qN5bx1n_UtSizx zfx|vQ?%l?+zwhO^l)B}ZJYOr#rHzFnw;a|%F%VL7QtX z|LfvOLyvu1Z}6WXgmJ`lPs-3oQ`Y4WpdRZK2i>!v5FC+GhgUYv%U)8@fMQ2Z^YN=6 z>7!41>1%LPP#T6@OzVCs64_Cgk5O`|yhDxipncr>7LJfHNYH*7%Nw56nho4G?>BLO ze6|h2nYgEQ7{9UlKH(f?g6zGXI)vi{*15&qt-NT~{)m36*(1M`de$km^HLf`^jnAR zh{$w`a|mb|oq;@Yjma+B{qL>B1F+1BOjI9N{x;Lvy(CWL=tfAaH{UIM@Y;2xe$? ztm(@T4$xU4F1wsJH%7O4a~O6&%)EhI2EbJjii^hvHg^(a+HO9ukl|`tUG-lH`AGM~ zt1UPpR^41|3R8L%?(!1$=$7!F<4!SPNCu9E8Ss(lft=dIDEI#p$P zL!{ktJJ>Oj4iSpnO*Z=UgajX(?7u{P!E}s}lP|{)?$AoJO1^j|tJ1Bbjf{0PZnVMG z;=&~eR7UpgVe|J4KXmS(zI%mFaV@C{7kqWzN|GQAkW(3QSD{IE_@1)UQSx-YXE^X7*jwT0HM+&VED;cx8`_l&%*@Sf z$($DUl}<-oO{t$A+Z<3xk^@6Q2Da!{_Eu9M!7@n6yB<9ML#hZO|FQE0>qFzTs39ex zNR_%r^UeYP_(XFtc=fk(Fw#y%OhZ)V_lBlFrm-k-Ji?uhc<)ZeVJj&6i1(%F z5@hPaK{o&c_SZs}Q(}%exBT4iKk#z0>Z0_z5iE09>Znu+8d2}t>T8(jZ{!RbD4k>* zR6nY;x7#{T^5$5gc418MFo@H(NI3P5$c5kont3bYJ*>|8)E@iCrF_6Uo`=R^Ydrs8 zPLDF@wr;6KZVgf8|Iq^?S~gpIe{WdRU)o_IAC3kHU~ebp8d;qG`f{1fFiL9)2zg|!Zu|HeFITpBwL4F;R15tbf^OSECpc(4Io@0%cqVB)@F`5#grsZF>n7QA z#`bWTWNBjwxa=MXKykv@$^!nTqto1795puSHXrfy8*jm2iMg!1MCZRy&`uP$7wSsciHC#w%#k?l{Y^9rBT4rY-lX8w;8JoO3A}ONaC9(r zaNWd2L=-`mdG|a`r~V)jIzGq+Z7Ff*>Uy#|jD*!!YDEOo2@Y8B?TcSVM4)>nCJT!F z^P&Z5=Uay7FlW+bie_qN0-h$v+akGv6%VP-c>I63dl$YK+2F8oqi(B?$0vOb^H;GA z_#@V9*U706yncCQ=iyhvki$7_t@9u?(rbg(p5870k2%L8{r4pib{v0}z1O`C-K8!$ z3n#%+Wm>C9;bGii%3;=F{$c51)nUV7+o34COPFoIW0h{)27llqs}UF`-5c&OXE3Wv zAnGAQ)AA$O|7K*#5m3DI8x zEt4-8%O0x}{%<-8)yOi$>eec@hdDlGD#0fr-Bv1Dl;|XNW!f;dJRTR5osT)(-A$n$ z-CfR%y-8}hlDR6m`pf$(wR98g#yKp&ZhYSzhXS#<=^WX zp_|I-tpjsTrE^ACyTfT)!I1(#Va76ZwI2JQ4=W3-HA|ve3pJwIHSZn`f{o@f!}E4O zfJ%=AuYjpa&lG_E-E$Cpr@c_aYkH@3_!70{fI$54+9L2w7v@`@t zLdPzw-w%y^mwZ;~DG<+m504yF`d(%T5^VeUKki1nTX^mwa9;BQ)dEl;#6Y=chH7Y5 zuv%Iv1F_4(Z_;?uZqn12pqG8i)*VLRBRcPgXa|rS}pJ_cr5fRejMVJd~B8JYfDL>eNs(vKKLfdw(WAY9k!=1yXVw5 z+cqyB>;GrxY5XWtU9cPP443wEuG}y@##yFKiQYDymTl@1N0p<_Gwgtx{P)7mpzWLt zt1W-+FRkW1o+V<_HSj&&=Iw2r1ritTQ`CFz74i-;1MG*nuI9PV7^&#oy5vyM;ajqw zlhjV_gP1*#cp(j703zM)Tu~|w#-csCk?VsI@#lX3+aLYhb6+U$p^@>|QYn_R!bgr; z#O?8y^UZ>J#4=yUO63Gg;zOHU)I|Bd8g}kZn#uc&vcI%(W7hCED0bgvpJZQ<14wPn z&akLA;gRuy4mGhX^$d>HplZFfIQi2@z( zI+#&^0inS!uGKK&J>^UFOtv?dXw_pD(&tSuwpA7wJnb)^Nt^4s-=4@(zgGzd&9}>= zc!JlMT0t8Ur#7#$eK5r=6+W^BJ3T)a8*PQ5g+@mmOLqn7-}!=yARF6%8qY#9tuoC+#i$5x=|nBUaMQmRMK_K1 zGTi!cNl-Ucrt|Av@h!$1eT4h&ClNd-q7D`gl=S1H-=XZ99UmgpUwIZ)vtGGo9ux zXA6ZaN@Syi z(rs%zosE89SM!)Qx^=<}F^yxqPHKpLy|U|gM<~{Bb#IQ|5n<6gf?!WECZ6CLUVAHh zz=Nmn`5;rp1vCKV5?Z>eb5F{KE1cVOMy_p{ZG~;MHw@H7>2xTX>+IG#HzAaSUWv$f zBnE>3opXO8n*l3SZ_d&Ode%-Ww5*R~Jd#ltIDppnv(3Ae!qV$0mTe!~3*~%|G>rBt zR-Dmun7^fusPlP*rt?{gd`Wg$d0Atb1lT8-tk*AyOGwg7jOh)RcELk!d13Kxsno+4 zL+^GcLDH@yB7Q$=2@-kyv`Lq{F09A{^fo>JIN;eTAh_kAh>#{tJT)Cm4qbJqJ`p*2 zJ?XX;>78}}_f0#H^-nvzOD{S2^-p^o+B8Wy8;EuA*W?`o0W)4xydEE>#695N4Pi>j z1Nq%PP3d}IOzA%0Oj$nQPgzd1MBq)6PPOD4i1GsgO|iDV%k4GLfIH;O zu>ZXro2efW^d_;<>%j^^Z(=p_t`k=vX*~@UuhN^{O)vt%c4FQliJ%>YDE5`A#O+L$ zsa;qsP$?S+IO2nl-)yQG~q|s^ARp@0=oOveKrBeL9>uE z&I#rKX)GH|EFrLf)XhjOun_C`Il*9cpwDkvAW@)BH!T~-tO)H$yA!Poz*m@C#giR3&c!T6&neURIV!@vk zJZ^E|(C{o?e8{X$39b;(7JcKT!&NcLuno(kzQlct3H2kqAb2wFH3Yrm-t);3UPAuk z@G_dw4alDg5`uNb_W+bNd|}-n+_u@bKIk8MM4kTRSl`od2~&L?%9X{$qoJM4`z4j2 z{&Ll6u=#Egg@;AMsl-ce+tTzU3%wjwnv+rq8@^Jg><9;1@)UK!j!3B zeas2l*4WTYaG+%#FPtl=?;EKXr*atCr-l6g|7%%K9zfY&Y&-8Y$nxF1#gt&#ZoYmf z?2`13eI)?-e-y^tid1^NVauMuruW&Ncgr;n{)HiBgb z{_s@MSq6cZs9dlf;S^D^SS%7qr1TxY)v#t2uO<_;$i;_IOJ`LxLsrlw*}$CXneW$^ z{lNtdjFRX6VPF%UZH1YRFpH~J_d}0tZrw@`>MgucnP9x_H^VQ8f#7e|Fus8#AKT&D z5N_a|FhS`1pJxb0#Pa?V0QpZqfI$2GjBhwtW3>L@;=s}%Rllap^!uD2Sj$X`6@KP77} zmq5}LmS&-C<)0WQ1(-96Hu2=U(@8a!MOP-NSmGu4+FHs%yDrpk!1jAEVxKs}Ke^`| zt5M`IrDFm#m5JZtlX|y98?j~*MaZ5@E>F3sEi`36z`dYJpX@$#z~a0@GxEm3=|V~s zeqjCx8foFv!#(-~-EfbpLu5jZz`VoP-i`NS)MDrBQ)#kK=37NV@a=ge_3^te7m}iu zFr!?ZPt37!{ysN(=8hixu_!CtA8#$ayP3z8zJ@mpD1_IkAAuP#$ zMR@+zpY)JbB{+(jHBng1IX95&D%sNDU|)49Ic;!1$Uf*Z_dTUbS|i=ktGYek^Ei2@ z7?nGi#yGoY>Na_pGihq?pHA@{iUB97BqPv=%s>!VEop5h@|>aN^@g4jntm}-E-zN3 zDB-i)C4EFI=hF&Hf_rrRn<>~9U1qV$FwTei8f}p0Yehc{~<2+ z?zfZ+t*^FZ(d-0YM3}V8QFqB)S6#-_Z|sB^UcL9$KL@>j+4dAQDp|2d5*5FsK+dG- zveFg-{E8gEdo+Jfx;I~W=OoKT`aw{umx=<7QI2Air3Hasjb{D<@JjNuBKgqnus zarXdOgBY&CtZ3iG^$F2dk_RK(N4ZrwPB=g&-6(kB_NkIC_|iFBpf7@FCEQ4L)=pFJ zd$|&COV-#VaDrYxHzZ4y+q{Fxqw2j}F>L(UL>hkEJ!B?ZgvnniozpGrQXO2mB~o0F zN|C;L_g*fryG8h|WN7Z1tneR&6vWqR|r!vL6B=uyiFz9fgo0ipKhCcR& zBv#XJr$`W9dQeM6GTZvz*Tm>er?+Qyuf8Pe%t}Y>-_&HYjCbO{-WSKTt^K+;E%pkl zmNfHi5U~HMUrfF+?CEcEtR6&uU%;tR716Fyn`rDgVA+kF#-!rRbXjLpQz_{#bjAjX_yw=V=ha5u-;dfK6F8o8y7}c}6HpZRW>MW? zc>B~&#m0|vSM%A)%0!@9gfOhS)B`#));i=7Jd?prXH}wpO8NCMtftb#83vPgkuGyC zVf25Bl@6}>gF6f}RB;KELVu-gKX>ABvsZIHCAL#q*1IY9_{SocyR92T=GUl3HJm2? zmbp5nIJ`n%V%E|$w$OpltEd6CTvhI{*BOfJ)Z>*zF0X)yGDo7_ywf7vD5qp-*idn% zw4}*w$dTD_wrvepGrp+&0--Z2P;*S4v2h*e?_Qv}JYlLeQ-$$Iuj^5`b4KdtZA~~O z30v=Tq_nh*7}*quyrqNB-ResuUFAtXP1!G8J2jTUN*dXP7qfRFF+Yn%H|3lUbC8&{ zY<>~g;#Eh=ctul~#o}Hf#wS%QLpQ4Z-H=T}9zmM=T`X4fAbJMw{I57=!}~f3_nJvZ zaWv#AXZ9XX5cn5l0l$uG^F$Boriwpkv5(e0hhvMIVmNX{H)jbq$tUwLIA z3>w}?C-Eiv2=EoNz@ldS3zy?>_Ft?n?RW$ld&UK$bK4#uj&_ApwJyn#R!H`zMb3W{ zBgf39P&9n(ir6#{lP4bianGDAefdUV;5SigyAxJ+(BxH~HQu_dx?ZdYR@)6SXTDpD z$1V~A zSJeMBZSH)|*(!dotuf9}MfsV(Q%1&fV{^AeX*O?QJP%JxVkml@`jt^i_OJ@YPk+n# z^5Y##T$-VMee2lB<13-!k}i)c1gGEC99+v=-ao z)yq7g+#L8+otycMJbT??C#$s}4(Bbj9XvYck~>ilLWMbG0?B^#xCGL-)Z?MB-iUL3 z&pLiKbXu21CIgPZm;a0$5NFOs2yWKohpYJcqJPH`kI@TO_sz0fcr}IlNSu@-9p>(~mB{42gqs?~T6}Q^99a*v5C)e11k1&pQxgc&awxzzxl8Ywxz{fI8^N&_V zC>d=O!mm6o$Ov@DtZDH=0g)#h{OJZvHm%kkLG_Gxm><*`3MH%9QnIN6)Y=j-Us*9x z;xXq6?u%cr8x*+_MZym}4)wL|ZYCA_p`Wf^dJx8|%q$+PSRChc`xsY$vZa}!eO`>i z!A}jPYb`-V<7MVAt4&lZc2AG$D?x}p{}rTuf2)IhO0oLq%?2b|gy_|plcQ3h?KWnX z#7hVhwA~5WroB`UTlBm!2w<)qu9T#k-`u17dit^uAzF-x=Nt4yQxHS?p})WPH^{vc zmq}RMFc_v&qvlC%Ecem+qv*eo=lPwKh0vFm@vnYmByKJ%e;1)29iXS(^+<c~f{VvMomnaA-;E)fM-^XX8A%Xj z-ae!c%BKR%z_B^bw%@pieDGx2Uo>eyhV>V@d;fL`gDWo3yz~%~pvo9$L+g1v5%#2e zroQ`S8hoE`&OYCyQ26+7h*XPwQeqaSEyQT_GU{7VhNbx{((w0zZz8cA>{xs%!e0Dz z3D3PhYPqP^QAUxE8x#CE@K_#@1)x6&luenFe&k@#By{>3UZF`UD;n7owQ3ucSoFSZ!l(}mKA1Wgh&?al}1i*L7cIWZ`dsjmJ?w{JIA5BH1ttBT&^ zXo?ztls}7uQAT3hk{dXeMbI`e;xd)ljwW(Q#8~rZ5yfmy##La%GUZ_0g_9`_2l6zi z!e4v3JLONUl{57@oD32Z#K*8%*PDerin92o`z(oqkGpK}9sMD#*kFQXxcX%RS18lBV8Jkm+Ig_F!W@rFIuU^$ zPrqaN+4PJ!4an9vpSjA1OoDzLGkScYwZBN{!Uad_D6~^XH=02W_9p0@J#Azj#(Ac+ zJ)(uW(}ki9V=vKRJ_*kQ*afNGhXi68TEU$O`1{K)l&>9*nl~i3C!Q}` zYT7fmh>XI&MY)IU!YR%QRp|8>Wyw(x#rz`J4<*ip^7~5=m%w^Bk2{w?+i(+0a8M~$ z+YRDxCbDSeT@9rFty8p#kW1~oL}izsH`nw1Rd#zRzL_ccN$Dr|-7Y<=ctCz9r5hG3 zo?GqQwHSKaFYPYU<5z?I`>70Hr67yOSeE|Z2h9@%LTRX{A&;O}OPL_a1pzv$tl7rh zjtB3GdT$R;{~F-SEDJ`&?LpEcCsA8VK4gKFWkZjFJ?JvypPFC%#>u?JN3~%KS&ZmAYY7p8WJ~C@@{bKP;2zjj1U9WsY`1xMTq#NjbPr zZeL(hIGLs^#IhQ>DDHU z@1eX*vK0it%&ko*hc9Ac4t$voUAr$F%dN0q5@RI`iya6Ncct8YVfdo&kDtXPW~`am zi;b&^@wNU_Vm#camt|xA86Arl){SNQSz>FtQ8f8~C7gsvZDfaksPZHZBhDf}%?WQ* znVXM??C$Rho|h@1miaxgE=yCYBDm&^!w=bI$ER?1BC_f!H-|~;7ntCcrN->1u?-xL zn|<>kV#xJm55VWL3RK71E8<9R?v|!HVZH`v+XD20E!5Xke|CL~fjBX7lECL8vaPji zn5JhFpHSNx(0$;&_NSk8!>Ua`@!8>ibQfzc9SD5-Fx<1=CJJ{)^?FZX`9_YdH| zx5W6_)Gh?qLg@Y0!SsDn<5r98!ErJdHVB&6oxE7vHhdfp-MK9!d*}LX1-SP{&<*^h zKa;H8f^smO6cK`Wvidz(Q+9qeK1;01;g+(e-?@3v4g0BlH;F&QvSVW_{b^5g%ohJ3 zI~V(+F`KVTN0yeprHk9^(&X^XL;16(4R^*i=R&IIn~X`3afW$)N-zx-b}tZ(7}u~a z;ftPYf{ESIet`5d#f*R2)Cf+G7w5v^zm~&*4gr|sAB7D%OqnTDnhsXdhMyf4@-j%O zEXN%dd#s&7PJMbCgq6H4aE|sdDxpqWPX{;US|;Rw z&I6PzknoI0zVb-3Q&YhZ2?QWh5JyV{c9{Br6)*o$T|`F12xpvJL` z);VO->GO5dsTNzjp5*raOE$asWqC^tnp&M{os$bgroPRfYT8kc-G&VbunVBT^>b8S zWt09}6afeu>+_c=Im&P8^;|MAo~VnzvY<{OIE2Bl&2?N%^zNTh^aw+L zmTC6BYM_n$``sJVMG|N3fo*@scjme~;g?4U(0Cr}DggLeZ0TP1I-Cmt$(@(8U#{}%dh-maT^lTmk^{=GGTF#E*Ud! z%$DpASO2wJJxR)1hRM!K8vI9Q9?SmM+EQ$^tT43g%dtda+kJ_CyTAu>QcX}jNqga- z;Lx|N=b4=KKFWY>S;yQ?%4S?(RzFN9gf0hQ)2gY*1h=T0S*K4kQtmKy7f0Gh=gJh7 z7jx@}WC{=(@;ewtjEZV7W=vFISze=k$D7ey`>38FBg+WhlOvSGB#h+c~ILJrT;T}rHI3#PUOh1hMS0Ir9W zTC+)eLiGxvRX;WK#8qRuAff&rFvcD-Z+`#Kt#neQYt5C)%C8DxsCEe45yb-Pq6!om zl~khTF6Q&dT=wU6OOPri!qnpfz#r(|-jIq(XznGPVTP`7n2U^3g&`Qn0{Zx%pAzTS zWoUyrgQ$(Xb6Jd8i*3o`em?aAa?RYIPAURM3OgptmP)Qur3m*KnKnHsnk-MCqLYa z?Y+1a`rTCFQKPM!r8kK(%L&z;(PaI#pX)5)5=^Tas5?>@F7@$wvdjbtsVLDFf=n55 zc+4eG2~VH&EsxCRNo|WK8=G>yc+3f;#atRW5Ys7RrY2rsoR=)F;4h1()LQ!ipkmH- z*xP}3D5Xrq^%3Oq19aJ_O>9aFYR&IeA%_bn#=w8f94epOTM-UQ%wNMzRN&Nk6glXh z>mPg=Z42-kaD3*9MtR0MuC6mub#|pH=1lN`cyj#mpUW38F;sDdDi-?w7<-7?-H+Sk zwp2{yRqC4w27i@3GV|N=YqMzoonU_;5W=#YdISmS*|m%b0Du87cD7{u(=b%Y}A zH3}bUlK5;q@j4L~cslPE59}pIaLzPU9)`N+-8WP9519-mpeE}f*fq0Ul@kB_dPW)G zT}|IF+8UskcOO<_YSJ!NyovVnYnx8U!dm%fqu*v*j1|;(HAm%HGFC9f;#xWCAa?`R z^AV(8Z^9q*7qrR_iJn4jZhs{D{Oe>(hW}_T`%F8#Ws_jGbYX$A1djV@nSYEo)GT~! z6r3D7p6hRYXA@CXIi0JXvk1JV<2MT<#GxWdiIrv)mPn5l2NLv-*COpBURoO z8Mgrfp_!|e`Npa~(*EKt-`0fKjVJN>(-e83!)L^w{+kiot|hvdqV@@|mgmK8ARm$3 zde|xW+g_Tu|E>ZmDs@!^!`QB1=frCo$%))6P>i|L-)e8R{vM6mxJh7vSh`M0)DY6}!f`aDh$p0#~+{^z79g_YM>Q5+j@pZ(C?>qi^!$_urnd`lV zTol^F+zox!m{eF>6#EWn%fT*A`HNv0r$Mfm4mv}IDBg*Ai*#%>!l%~(3y@k}u) z4cRweEGau-qmOMplNPLrmn!N=Vb(|L#75lj*Fa}NqjE6=CUSpO=L$~6NX2`K;Pr(3 z({wEw!%xwUR`ch)lm*_YCn2Q$K^W5c8X6z9pWYfxj2#1wo>J~2FYSFE(Z(rls9tBKC3=xv_q~<@RN695-e9N*9 zJR6f&uI4wyKnb>EoUkMV-Qp=v{e)Uv!3s^>_${f4rD*z_v&m8?arS!qzs-M!n-d1T zPNwAdU>ek~aWqd+;*C^)$e#QhbI}&_cM&BoWiTW4okB4W@cSUo%R`WHGU1 zzOr`NjzGA|=U?7G7q}F1&n+C0tEtt1fX~k8jLE7rMW*}VbC~WLGsruNILx6sxGX2M zIj(kMuMLLVzha!J#O==%*5AmudjeIL{4#-x#lf9HL$KRTjFqu960xtZ(n3lwj^kPPYoO(n)Az3D5$2F};)C!?GW^&OL4S23t9J;`?z7sr zmWCl01X|2YIoYxmbY?bq1BLcHfFm(Tf+=VaGyX#j$re%sO0J=`R!b4KDzod>zkb@f z-1v#JpnO`Q9jkXuecmu5|N9BH1A1P*v3DVzA=?slzI+&GW68_bAn+U++G}0;=W+On zN%d~z$uUXr4TJZ;s$K%W1#I|bQowD%0Y3b{{ajP`rs_+9ajRVU|X9GSjur+yo=LA;3&PRys-gP%Aa#k0SM ze~gLUsoETReWmCgByHq@`9Lsz?XK1!kzq*hL0Z}+;}J#a2uf^*S8rl}e9Dh?4SGKp7M= z7K)!-MOh>S=27RQ%Xi;oU;b_Zi-!VS3D9x()~os4_uBSCMkf_(_K|`b%Rk3adWk6S z#et6hHU)z(iu+d!51M`O!I|#Y?mGVVb^TizIKnsID{rMXB*zKs zlQb)7Ms=or&y`+E8VBI3-;QGO0vas5Jg1PIM{JKnrOH8m4A!V`9*u&t`>1ar2mu4L8a#+2j{EenAa-RrO=6~E6II0i0G8eqB_V7?Di-0GQ5 z7Q2|YM-<_JPGViVj*cmrzM-G9G1Tz`mDLzsdbj1Ec}Ppr5ga;BK!zq9B)I-_OG!+x|0mB#*a`iO#JNrl|I?+UcI z#Cz{oOd;^PP;#;oiT5Sf9i$*%U3W05@f-Ng4WoE&s34$qN%iJi)Fv2Ch>VaeLonU~ z544W+1NZ!*D2o{wI2DpVNfKmas;ISRs4_Uk&^zttI3io}J2A*cs(BHs$=sJ*P^(2- zL$(|48?HqlkEhp6>ua_(w@@&&0Y5V}f=tr?PYo6xDczL+zrwClV~GnRr-_G>{R0ZL zp49BEI#BTO6O8da`#Dqb?klh;_u$Eehe5M6MMLjQGm<;Tj$`rcXM3yh_F=V@VGSKo zMYq-~2Y51a0Y)^eC^z>m`-eN)O>(AWHz-RGYMu1F|9kIh8PC9n+iiVhc}yoC1#++d zNgqG)Uwh%#+Ov}W-9ISm!QNF|8oHf6@#(c!<^P1$nehg8@gW%F5`o0_;WVo_sAiP8 zi1HO*?*+KkwCk*Lcl!O>Zbte(MsdS@M)#Zr+yo!Vq(ys*oOk3j^<;Zqj03BJvrRib z;gaWQT)sWj7B&AeobE750^Fb|iDT4ZSQJt}ePie2 z&?tVP_0P9`oFIVWA29b-(B@Q@dy%{h?ic%m##%^zaZjak&m%E;c>6;XtsRXl8~RfP zxR3De*Sw+@QU!O{Mu)qRwI*{zR(>ckzgAK^g6sf=^KGnCR$>a&z{I(ez^}kk=dT=RDh* z(=Hw^m%S3S)FBY7H@BG?y3L5so%oxnx*7a#qVvD~zY?~8Q+ONxdc{h!O;5Fc0pp+d zZTzCc#-RUggw8mPCl}+xe#&?hVcOhb3U(MH5RJeyc{LUfTv=TwyzadCehFORPaZX( z_H!2*wt^;0$W72I-C~k+j=e!uV`sa^NtWbC8C>HE_1cariaV)7>v@+Z>rQ;6&`0M)r`?%gMjEo9BV|^QBi;y3h;cah%F`70SbI-pg zg0cw&rQN#EHnfFn1&nVwbpVy<+P?Me=C>0kz(vth)PDqA!+j+cF5QVT%b*PzpGJt5 zXuXIzbo?pvR?r)x_8yL()qA@39>bfp?jFxOuI`@L8&Y>q;h)6+{&;8cXR}5owKYJH zp1W%y=+9(z5Zq_KI>_heExZHNi|%Dzo!?E_xer$S7XAPVvrpt-Jk0l!nft4h;%?k zNuuQ17&^hnGIbmuMJ44c?wF$8?9!!>jHds#ha#BycKb;;Q$aBuJp6oWGQrel~aaQk#AD5siTqeVx$w}F7w)p-#v(AG(bp=OjX=8=HgdZ8# z5OL|C5{`39$zeC-2NY0g?*yW{Q|+$09CPkbj?<>M;mT(1$p(Xm394v!Eu}gG;&ZWo z3QG-@X`LTtQb4ebYx*_8df;IRV|okEO7BG5ZMu89c5pND@Vx{qAMQYvjG#6A zYofqq=4w^{%3kW1EEX&b=|*JFRUc*UGQ8n1wcLMUX-_r7!@R+$>)sDDsSANkX(sPN^j`*j_{Kly5O4JPSSFI* zh#dY~>_eZ~n5<%Dbb#gDa|37Fxdlqk@l1>rqxPN8qD_cxcLb;TbKaBbYk%y@k4Zle zAP|42cw0<<#6S{N9;hO}`#>%OZ!ycKG~(%t?CchS7@a%VWgg^6Hd9AO&=9p@623e& z1WZ3d_fu;Fw57_}8?_0dHB?71CjTxnYF=~wXl`p@Hp@J~fkU?EX4%fxdW!UURw`)gPWP%hUUdU&RJT}eYY)&fpgp&$I4hz<5Wz$Kk2BOzyEVZOOZg)*K*`G zb#xY%kKS2-atbAFxgby3!H?MdqWH=bLH7MSP=q(0P9`^f;<}r5ozQ=^wY6YZ{fpAm zx6!&~(?zTM8Mk>(c+(p4a=6PlIkQ$>#$VRwbR;&Z8NC_UG~exS#|^RDmK0oM?O#T- zXrv@Y(HFS$Mq&%C`&*8BR&n?0EA#DNGDdCsY|`$(@BhTSJL7+E?vH1^uJuD>W0LTB zuT3*Qt*wq(35(&H1FJtztVC+(MR7bUtVid` z3FR7GR1K9lytGvr_M`?=v!2mfW*4FPHAKuM;b(4W7pT@DIgP{YmE~K5-Tp z`X@3kP}dcAolb1~fP|1_luB&u8GoYDl)OZ2=9#?*mqfQHVAvY8N0=0@9%a}XzQ>;Q zNBymFYwVs(k~9!)gm-Gfow{e4)T?1*#+^O)Qk6{oM4uyQ4qr7u{hJ|2_#CV1j{1oa zN9>%Gs_@dYAxH9@p=!Ryi77|soQKP6eY1co8UMe(5O2phv7jDmWNitsXgVMgdh-4m_AA}X%v>yWg>#I%+ z{OmS*Klv8tjVnMPm=4+0O@2}1h;^)}c*YjVOQJ5+|L=3V_4Q_3h zC}QmG+W$GFJ%~$+$zHfPkoKP3yp2ZJ(j&H|rwd_TQeb6E-=8!IUJCmd_n;h-9-Y`j z>k#sqw^?%RL+8Jom?Rw_ln(?CRaXo_>6*rT>r6>MhD)V3*duG``&F(#0#QHeUL!B-dT z`p|BWt%!gy@MooY4PwPcmgm8bpT|^UHC6XfytY2 zM60xw@K6|Yl-)17M^nqthZ0?gr`=kO5x~YUnbnlE<3dw&BFf!>!>}hMpsas$DrGLf z7OwRePAJT-N_{%*IC8Xir?Fs9ofK&ASc=nbSn0QYz_RKg7*$ErDBoeq+*~Xhbvv+> z!_|#LMO64RQtkMi)qyhICp!7Wk3u7bGe-#zNGKjMozV?43~M=kS4(dgEI!ZGBb3Y6 zwP(%G@itDC{yL;Yz>N8F9VNrDK4SHshH#^$5MfAbZNiLDHC;EcEZ;Fa6(&8d{>b@M z4tJnOUV+$3CIP-zfwQ3Ec>7JXpCNUjy;&akTxdAmj%_%rDaQ7TONnFd@PTuz8@Igv zKHI~4e%Ns&P?%x2`hs=D+fym^tuWfmzve6KKwQ4JI{pnfF9Y##uI_FK{_{gTLrl+e zj~IJLDo=@1hwLuXY87(tGbMD;?Y1gr&D`xA6?3PGsS8LmoCJ{@=zYQDx0{>f*JQ%H z4*licS#HoluT%r{<#UfCnGU}-aC{Lx60Is!p_er|eC}2yaNVQ>|La1@!+N6ei?vm* zeA&FwEO|2;2cqnQ^WC_w6lqhF6z+0vKa6M=hP?zgr_R8C`ptilFl00RU1+_T(pPCK zY*v(n8Af~qm1%)Mx&b@F`d*3F)3SG$nXkL^uA9Od5POK=1eV1Y3Wh z&!SvOuUqu%^!$IQnd>d^^emXgE_Tyn1PpS~s7m*xTQ#OM*Z3JWYLk;3{5?iO=(j9v zxk`HrU@FPgYBKm_mx+%6)Qq<+DVH2kD|r%uD)W`j+;m~&mNsLv;5QZ(Zi?jj{aIQm z&E)+05C*MJ>1(q`qV#zT$zw7~vbQT&-dV-1fgj`Ehs@`3%@R)|{i(ZY2gdZ+su$SK z79K92HPO3OFyg!Am3}E~HZS*3tLB*P;C8DZ1@QP0HWS=cPA{Ix!Fw#Oet#m;t0x&i zm^4ZEux@V&;dbl}dOe?as{MN9>Fb9--gB!28+G<4FDZaxjCgg*mE`f}x%`)Evu3qZ z<1ZzVu3K7;JU{Ixq(!wS+QW8hPX9)GyU@&cBjzW`{cavB8rYeXg`>WQ zc5-=198QuY+|_VKJ5I-Bg@IQ9UH_(Y;qmKG8v91#wfQ5BZ-FUwr%5{pKaj8uN0wHU zLZ3#;7lY_N{+lhwUlFog{9}pkec$lf+0U6i#g|x3A*8kJ_53Vjp6w@2{kYYi|0?<$ zoPuAd{lKf&BQ1grP@cLNGvW7R5+MzxM~o#&mB7V*p6{bwrf4v+W^);Ds;LB$6f>LA zQO9A6-mZ9KTEEgAFW|Ac$;k+;*otJVjrbV&3uwXLAoNmT>rodUD_|!q>6j*#B55)- zZ_c6IRDeQ{2tgazgCR(xaWjk3cdLq6CMo@Z=DQIBI`MmdZ=q#OC8 zqBNHplSWNnb{iRB`Jg6~U6$8$-Jem;N#Cj}36nGDR`Uh#2qsXsXg;NZ`}#uR5!FpB z;6dd~u&A8L`E)iXF&j%8MR79S3DqW9t2b=SLDO`4lkd<@-E}d9r(Y8VC;Go+Y&_@n zxxA^7*R&PJvo_RX6a7tguBizQGCcGbxQHzM7#D=Jf>gH(F#PWzU*PEceD z`OlQ|GFOU|w8&k_i%ZJrkTl7TnJD~8n`t<=&Q#7^oSn=|eoW`^LuE4kjl0mlJ`sVs zW8@JIc7ZvZ7@AlM5hvjH>HI`R-!^nCm-wGGf?}zXl=Ssa{~_W0n@puJbs}cLORLz! zv|`=yDW~~+*OcKj!(h%~P1|9Lb(4RMHirA`z|+U5 zHXS9xh98n)Fdsr2_9p1x28Q4 z1(ca^=l8u!F$~+b)cUx$o3=GK2!8aA={4mrGB+pboF5Hh>w%6x=RSSM&a56BSYeF$ z&DroxnW)WWBIx78f2?qG`s=Wb&axLB|{c+19aER5lWLbw0week-~l|OPmmU4W4&tDJQ zAzkWXif;2sb+VV5JHi&VnpYJV!Z)|9JRF6%;lC-E-9iDqv%{8&!bw&gsaH`HEoxK& z{_KcY!O7c>k!ack7)t6Ycmr6&TbE8OIv4b?hm!9!jE2k0s(yl0-hy6wBE+A|YR<(4 z{-gZ3^9$dJFBgttW3oQl%3ZH?6Y(OGp;Ek^>^bH^Ld573yWs^X$FbgrBIOXuX`b?iQ>UY()83PG9EKH zLBXzwq9fTB0Y2QLPxmqG0}-n2!unB)Ukz?YTb{~I^s}mR65un+ce{lbwVo;WI)ctn zf~woRY3z&OBlQCPoI6QG8`GxPEVwgt0sL?s_^+{_uRS z_RBtB0MGT)&ShlzPo6N_63+8AJ=C#IOQb*l9fw7JPp5-F(i>nlU!(e~6#7Ls@wIh? z<}1#Ba2EvYL+OChosIQ>{jcYY*3%^HU>#$>%S79MG|Kbxey7we8`w<*{@Zi*W8_ge zzZJs7+`I>}>huP`$bTd0nW`w9{7`D!;U z>VX#9;j?l>b7RVbCEr!FO6)11d0LKIvnnSEFU4@HLa4oTAl{t?jHsR4ag8L;8{1X& z5#*{B=d2TD&{f0Xx=Vf?3&KAwmwm^6AY8s-(Nc|(yRa0pi_o~LmfZ_J0zwm8Vbr@3 zuW3(`MnHYgyAi&KFIL{h5b<_SRYHd$w>YtIRc$5bPg#mb(P3-#GvZf!!!);|j0ell z+9XVr@+uB`6g^Z6qO+`bRQ)FS^Sh~H2KF8n=nA;uU+o30D*G)fd(E-mkdl*Ftl5c_ ztJqu984^|I^p~~Jg(HhpBE4xLjjIU~O@iZ^cqJ*K@H11>Y*x8a#coTHUa{XDc6Ph- zaNc$6ne8YQRPFOcCMbdlGXYVr|AYA5NjMhPFEy*bHx&`}13!q4?#Tw6hTVRDEB`yE z>BThM>Mq{+caA4T*5T9x?U&l0TFV6gvorFoa`ja@wHg=$cGvQMFfWSgGc78wMs%I5 z=M0#^`>y3chJ~lrbI$a?bCl$nNctl-?SJP?uQnf z9i4Lmrsu!)=ky5DpTTl!#w*>PKK>BLKiR$6`GiuoVAuQrTsjdHQ6V^X>l5@p*AP*7 zF!+|@5NzGM^a;#3Z2!=-j9mAdbhGbP3$4pO`Prz8LB;SQs5+vzk2-XWvwZlEBw+^q zxtj?V2V-=|Ia-fyUeLDYjso9@Kykg24|8>J2^~1U9{wdM4W1!N9K^MpMa&pS$%qsQ zZ%4CAQ(rWT%vQvzZ>WgyqkrC7(%T-zGPP`Nf8Tp^)H0auoRhc!;SvY2)ws2h;Gx>$P9rEZulIH{z?J!as7Kgm5hT<#CWm#9 zSEQ8fuMkOLnz zRQ1E1iHtaD9|O-^^|IZ8S>7p%o4iid9^{kz1U@5@e-UbSXMRDL>m6u8{SG!#?C}d% zPt@5TVc!M&4R?+Qhi&kx%V=|3Xaq=4IwDl)EBFQe6Kx$Dn@3_@ zAo&YG2Elsgm?&@!bq4rFMF@<#={c}mVmc2-pizj=qvG2}j`8k2kaZvLxE%{fb~ zZoI#LI}$%>IR(yFKL*KR#LJ^0j1;7M*z+;}jMx-MY04@3&)2p+Dqrw8ttnIYF{hbsU$@)QJJ@Rlppv2 zLtk{G^;I|CeQscX#pB7m^NpzS>rkL&W|ErAyrPQ&<#O=BVs#dc*CRUb(8BpC^~dU8 zWCsG8+b7kQCHBV(1;+_obaiRl7g2c=SfN^rQe`ZI%~L(Xxn4RMTR-2s3gGt?Ct= z1jwcr;Q#(DrMw`}e+j#H?9ZmOTr=~3Afo_$*pln`9BSo@c7KGpW7-V$R|w}7qcVM{ z_Hj?yD%01pCgnIC7Vh)m7Vxwv;%fF9y@|gZ;_EM1PK>@A1fTq*E=6>Pog~-5NbrDJ@5~noKE2dex$7EU%6?2)sPs?h}wzH$o9j&D* z?2-JD*ZO^CCDv|T;hP<3mQF;^H{Icqai1O>yL!aN zuPNNPxxWijt<3jDC)6$GXjaSnCM1St3SExo1e9*o9xCA)O?jDr z)#Y1N*o1HCKPG>kv$L2yZ)gyzqpuLO$N|6fz+*mb;6FZY%%ifE- z#QDO;n8Nq4uehuRXAvv*xFKR7@SgYtW!s9uznnXw72fh@iL9kG?G32ScK1wFk$=0&OI;#;vcZapB_ov1;+C z-#Qa{WfSEhl}=JxwR_gmk*H5Qh%V1Y?$Ak*d!a>_zg`^&Y2eI)SH;MAJ{^_=rHqxarXn_9_cWPu5z5$@iT22~Mq;KmoiL z(>*@I{XGZibz<5>$5~F(Hl>I*>UOj0=gh|nyzYH-u1llO49uMQe6%TR*egSSX2K;% z%J&czoWc9;q6_=XJB&wYhCS?JWQiOu?$WlIoKmoNPS*Zm`QhV$htrkhcJRQVoZ^V5 zG(s`1p(h$kq9X{n)Z*aN@5Xz>1Nkj7`FXq(%)G~+xtLLQ&5!)?vGPOAdFXmSvDq0G zgmH*7pr0tL;wR0vSz&U7#5es&Ql2X5!^kv<5&aT!(n<1q)?A3e#=5RG_ea=w@Ht&n zz`j@azSr4x=dCkMfmqv?k*Lw6>^b*_tu#)C_xTrGvKNBds27_mVG)qOCAD?pZuf?hkmDsmtQ>%*Atq z_=+VTEh7u|J9ztuEHJDP$Rr$RM#pF9KgmYr{L|Q;e8qE z-1T}{l)}Mg*@8tyes2=8zL`d^7O?Pc6g78 zs$YH!scE4-+--8iJ)5O*z{hN`Fr~r2bqPCQU7r^>8EQDgI4wkSVA1(9n#FkDp({A3 zmIyw~iLUNAF@Zon^g_qAp9(oqSyLfs*44E?T9sl?1o@`i(=b&?6sYlkI?7tS4i8(^ zwz6~j<2`lWm_`}0*n)S44AVzF$vMz7gUC0HrGSG12x0K>roZtc#M=wXg*Y5_|y zpUG;enmByT>|-fwNz&6%t+^Jl=zD+b$;vbI@XV{Le^~aOH$P^{Jbnv|Wwq{y+Qkx> zk8Ah%T#E#rGRMpu(%zKo+8>1Zk>4a9t99mC^?eC98i~D!6&q%D$11+PXg~6xJ@Ss6 zos)k(9A@@$lfpHyBjM>NwMG?>+U}bBrW|H?=JD!DQLWGCS{pO!$fCF?=BZ5X(UuCx z2D0{o_cqY-sm=8Gx05>Ftu0e))=dB6dk``%7MjXvcc^P!(~-+=0)tJ7SeYSbZkAh`i7;tkJD@^JZmq1^MVfjAvf&b z75uSUZ{BS}9DQOC7#T{n#2LbH>h(IYhlPV`#Y6H>S0yfrt65S7 zn-IiHGILi&BJ1#z4gw_8L-O#nlUVRoIzKqeeHpz)(89ZMl?N( z9o4JYoyTC=kgw6Fe}#PlF{DC)JiY&R#r11VtLT({Yf$Gh;w4N}QI*-YB%STpRa{;w zEEUgAOk9@>)y2`X=yUIhCN8{5Y6^mxvcN)Y8t3dzUz z4R|s=ON$;PHpgxMR|p(ejl5_pL^`n>IYs4U?xPWOMRLkY-E#c<$Iku3>{yNCJ4v;z zhSxQ5%M9>thOpqLhXf}RG4%S~;5Z8@{3a|i*Jgr!K4p1BMMTHF*ZG^2nVP|O3Y_Nd z!qI$OXyxNXh?|Tbuufxf z%;l1GLd=y}m6!IdkI+N^fQ%!Opk6XAOE%=`X4=)8Tjb06R(7qG!L@{0$(`+0NfDfRWPS2B=e!wXQLW{+?lxuwj+|cZXqvO0)eI-~3|VPzDIcj3Vurx?L<}P`uK( zZgA0g(dJRm!X_*i;yvyVaF<8M7iP_XZCriXpWOV>Vx*B5CDrSEo-JOH0T8>?WpWqMv}Z_?QJ1SDWCCCS1ZUTw1HcBC2(xk-ebs z6n%YFg;gS;K{?rA-zRqKSrL_Ez&>O>zUM43ja>}6IYL17y4-x}$ctCwFd9o*h25Gv zJcZ;5-CJ7nH6XO>@~_vZGR`9!OORDCYT_}0UCI0HIikZsI_B(U3*7vBht;;6oNULD z4_3)WpEqpVRB&Q04F1dWRh2*NbC?}O*gUWCud`LNLxBH@Sy({yxn)Z8Rn1mJT#*~1 zP2QITImK8C)HgcVKhiL;OxQ7Q6+U1jl^n*^qYBIVr5sh}szz(}!TWq~T;O1LYsQ8L zid#l|%PMA=z33U>Ib>$ljm2-gxi^*;&c44b%EELEMv-l0ckB^rn3Bdbv7@3$wo_J? zH|8GliP>{UBW$2FmscG9iB3`36PfX|q1OH3ti4OXcj1YD!jZ|z@1`N{^rWG8>j&~z zpR$j0$m8XI7~Nnx9Ag+MaALGX0qRgINE#0%yS4Nj|&i1W3DE@c)$2Wu4~`BeDLIrb=zT`{txrtV_3I=(7f~I=^H=KOWYx6>*F2qy&FH z!`(I=T0{rCyrC>!@Sw-9uE{SqYlX~I9^2EBe38dAeiVc6RW=SwN@6)zOyrCs=B5~K zFC{$3kh{>2r4mOI4}x-A@t2!ZTYum9`?jSiHZ!ZaFv$!lO|_*Ny71ZZTFOrgGo9)M zaOysmd}uu3^~~lpy{A2B?7%=-?l91!D6Z*HB#*lA6K{_oW>3zQ{}V7yjd%UE;Y-~Z z0#J@^E~5&sxEYXH5AVTKi!qK9fH;E@QJcQ%!3izx!rKj zj*SY}qa{4=*j0wY=&$S_w6;Q=#Qlz7>~#YF4DLN&BFd%Zv>k-NlDP#q8*8Nh3nR{FkJBBG~dMKWd3`-Z)LGjFTl(G-%hWSlVJ!^ ztI7+m$MmSjhvh}dSASU!X?&dsF!Enc#Tn%MNdAObg)CAW9&4QmHyre(FA2Al?Y)FM z;g52kRo8q?eN;3dxhM&y`A!&KS9L7;K$6vN-t^M>aERPCb(^OE7BtES-VE}ovYG(Ya8KA!3dQ( zmCq;>zNwY4wn>=&Qik=!Nfzs~8Yvhwxg=4t<_(6pL z`jAx){62v~Or;r`><9HC(VaUHZb_F5Q!QN7Z}k~ITuWgMy;FC`)kvCMA)T9=?D~q+ zi*TnsG%N>pO0mK?x0{c+g!o&dw?lqH z@9azX>)E6Lo$BX(I@c&GGXX++EI%nR4V9>r_xg|V0=Etyaq?#Fj1$itmWdvb4dSMc z4}aV;w%-zY=D&S5;-p2s6lb}67WZ;cG)+S-bc?C*%}nT3;UIj< zAQIQI0lAeQd=lIX)y!DNqu*OGWFLSAKOfIZ6pLgyLgMN838rIsBV&ml(@GUeh`geB zF7z`B>w1gmER$Lg zV)JElFH zG@GYa^^17_TcH}Ca&}pc<<5GCiKtHi5?^#7rtiVW;OtU)Jf=w#mG`6m_)h8{LdwT# zjLYnu@*)W1{|oWpUR}t-W@SMb{Rfjsh<-t|O zqUiUY)VqCpbfI~HZVLzLuTN{KA4rW1XM^z|JtdTCDkizgC%yGX|h z7z3R$#cS(LFRiAMxAy{ge?<)QRt7DZ3C%@xlO?=w%k*^(`ewW9LoDeGxXtJ}v*WZn4WWIwq~i=4q(mnck?8s5yz z!qK;ulHVc%X06LRXU zlqnrA(>C_2rHWNj2aA7s_a-N!{0%FePeGI@^}t1s&9dUjavlTiTH)V~r(H+>jSWs% z)>$WPannU6Xe)Hf>81d6e}%RpF6?j)wb1TP+-QRFX3>{EAesU{+&1(e$lqa?D0Co^ z8r^$Q$1iH#oX{F;noEUZzZ*B8J0+04z8>km2-nRWY1rF{<6oFz%{}r9tZ{y1Nja38 z%XMwXc5G4KAN?xp#l7<$yzB#pHVB@dlwLK27?L{TOwZ8B)_gW{XMAHJe9i4P{zKR> zGsZ@goowM_NSjd z&A0SPq~m1V*fofxAQelHkGq*l@w^aFf+(5@zbl1vs^C;E|v;tv`W1C zGe^67I{kA+SQ2?R(cEG#$2i@80BC>t;(bHPzsQVJU-_s?B!*|oL?urfyo}Eci(Pba z|2FhD*7N#dW2|ki{!fV^Z;xKkJpJJ&cx}u>pTb!9j4?mwLWC4RR`Q)gRfb1F`Fxv znBP8a#*e&YCEnpYVE)qEx~c0y)F43c!Ql<{+{BCa5Af3M@Vn0SFS2ZlzjwHsb}a<1 zwqZu-F_8DJUYg^{2nHxgr2kGse`gr@i#{)PJ+Lx8qJ(gFG|uD2I6fKSV6XZ8=L`g2 z_B=Wr8Rzn)W+vGncIJ#K#*RS04&@esx5Y+d9S9Qzh9%NMN?saj8^zl_8soXVGpk7j zTa#UHF19qxbhCrwcxfomwEcUtg4fOQ_Fy{xw@>UcX+8K>7J}+3Z1wtqazHH@WlOMVDu^L-@h_kAYrdRIjeWtbSFHnIun!Ya%(9Lcl6eu=8D3 zQV%sta6}tUODJ{B6dc1WzK%Oi#0>j&yA9tG+8XW8!hHZ^5UN+dvCHb{bY3= zjxP3iQ!@Qh3M7tnw8Q*%@ZOyyDD0#kSN~)EP@XV7ZL6-vWbzRZgQNIcO{2Fw>F`&rdJM-1jvw}kG$*wXBOUUoAx-A#?s8gCvNLO(c=TrdWHy#0Jh2z$C0-g?@ui&H(?GpM zaZYINXe-D2BT*?!UJi$BnT{I)ivIp27XJ4eL}7UxY;?HUep?!}7wM|QcPJ&dB}3fl zj!6`+YOSTvC}x@YUvXxY4AFHbonzy!=9O*>@$E3QJSK*D1mok{`puQ)neAs9b-?Qd zmmZ`gu>GJTk~_*fFhP6}v*PjDQH{SbwmVHM} z?>s0%TkCMoXRhD1@nv#faT@m8z4_MKkMCHhFKI~KsWgFKU-T0-7gL{Ln2bg}f&7CK znboKOJP9BAq=1ipWGjl>8HU+=vfEk9@2<5!x}ND{9bQ2p%{srP@%33;{3;10HpJ=5HJSLW-V&~E^lvpX@rl}l zt@AXmV=umC7`0gUpJ`I}4u@lY9&&A!sP&n=0B^_H#V6;3%mVx;Jw}G#%_RfR)!0_m z;BVGz%uFmmBj*kL zD-1r@*)u0$usp7mkGNKg{8o7})zuy+??B-ogC=<=FyoA!KIb0z0+%^`$=c=Bz8S~o zlzxJyL45-2dTzBu%~HO|j~scC%ch#7qQ7r zV&H$de2;+}68m5vt?n`I1t>d)M@3Bl-e(<`cIK{*Ly}ityjrd7Nd?gQ5+~@9TBUe) z>Q|MAw9Hn|*^sy+cy<_MBZItL1~M-Rglytf>_t_)Nhiv;x1)kcVN+#yB-(02K-ry) zB|~Pov5A+B-8TbW)d9Y&Dnv)j%7}E+SF8T%!a zO&`wrSj2xN(?H+#WG9^Ko7CSv&mOER#j~vh6bR`#_q}gV6N)Yr`}Vn|WYU)Cyb?KF z_>AJ@{DfdEh~GMz?zAy5D`I)4ThEr^%FMIlUtip0xun+P<+U`j_X>9Na~{K$-7L0% zOJU{Y#JBVLkQHHDD(M~WY2Aa%!t;8y72yeS=^YwTqM&CqKvy9t6NcgKGabfmbWiaw zYkH#?FFfhsp}AU;ElDfSw|P&^VjxcSDN8S@_%_9M?o7Lt8~lz_wjod==W*7m`lQpH zp0<}O>TBD*%}#ZX%@;xie(ATEx^&N@9#g3joj6&lqqiON$g*NIo#6b#o$bZ$*~Og! zG+kx3nsz25xSF`@R@`!Ihl0!Zdqkt54tJT=uAfZ_6yS|8%zJLc$+0ETPxCshB$(27 zOE^y7oUt2CeH@$6BUwu22NNoqjbz?kl9x9JW1s@%m;v2%gs5!VL@Xf1mSi=(>cXF7 zN>4g=nK?KUu_x)+b=Jymgl_SCyDo9YF3y7!&6~xYs{q@RYdq|P5jaORshYZ*&)%oi z^NdMWDZoyzf-0)b6eiNxV4US|^=5k7e-t`NeUSXbgxu&mpC#vOWRrU{-SDvF zHD{q$StY}kR6Rr7e!jwPq>y=|HS_NZ#nE}$b0Sk};#27yzxD#(n0lA0=Y@@Alb&Ux zz-vziWq%-Wb=DKw|zQ9)kdpEZ5ggb85bt=o(Y@BsEW0!U5G;zc&e(3}R zUuGA3YMfD|mOt>UE@-dqctlTWubplAz>d}zJmqNTUXh|D9>I-CDwq1axi3{#Gp~x{ zK8lQK=018iX234)jd8&(zLwW2Yg%q5lx0@#$PSybzLpyTtes=WMOmdgG51Z9c%)#| zX@y-#mmJb-g^tOi%F#jY8KqxmxFb!=Hhw*hD=!>sQ?bo@8mya@ylO67eQd1tbW3R(z% zM%mZ-tcaqrY+>K8Dr#2MluENJxwge6y^SIHG)I?{6H2ou%PW#W9{gACK|Fe%{AG5} z)ppO>$KW%o?tSYn0b>{-IS#~U094$7oWpbW!K1*`y>H$nAOZsr$AR}?feVncdnP%1 z6j;Ca?YIQoV1WHNu#*7{as$zh&lB7LECX;H2e@HC?KohR2@LW8(az6IuK#x<*?ANg zxc7~?1aM)%)Hslz35b6NqFtUz_8tX(-}@$90@N^o_5ayo#{n`B0+pPeNwyvZe%<>9 zUIK4mz}7g>n+b$`2GCreN%kKFM(=%7E&*m3kUI_tg9RP{&H0&R`%$3p-uK5P@D2v_ zi~|9g00P+I^2`Lz_5W}7&rJW{MzZ@TFm&%5a|wKa0e<7aE3g4n0@vPr6!>}X`~4F5 z4+cDs15cU2!)M^u_4y3;xG{0xoqg#m00RvFpRH>g@CG3s;MV#1Z0B)f@V-0x(w77V ztd9eonSdwQ?ecuK_qZ`~-<^Ev%LD_m#sNOC0CqS%GdcXU@)MA0%#g( zD1fG61(!;OgyBG83Q(945=IC0m>}VoU@!re{}Dm~ltBRlWN0a9P$f`?A6kkRl!1X9 zH*_YrL78z#1{-AIz{x>NVgq|Jp<&oTv=~C6BJUvtk_8`KlgbaVTp&9L zvCv_&fGj_F6o*Do03O9585Dp=aVQK0VCUg0Fo=TmFhM=&(s+177bU94G_5;c%c8P{0*T!4=xTog?TS;|}U&K|{O;_0l2a z4Ty+=$fuv+5MX1{H8?~igbE5*I0I62LQ3QzyvinB{($ z{O*ta+k9r3dMxJyfr$s!!TA;M#HD=Xd-a^0PqP|YCOdB^L_!y+SxhBq2ZR&cmIkO< zd^{E|>9Rhci9QP)tPcSQO9c1ezi!6@Xsj4u;Q0|CF_zl}0#2hIePh9E5D5LFfd67(l2Ag61IzAB40ZNE(75r7;Mi0ijP2Gyp-kAVdH`!XQ)y zDP2PlBM8ky&@u>VK*L!PGgzO6N*|z-2v~XqOQ|Ga=@RPK1?%IHV1FwJ@lb)# zJ_H>@5YfGFZ@{x}?<>%rKwEeOf~)@lsDA;1(@W5# zz5#I}(2~KHl_$`^S0=Dk5A3x8`)t5I8?es?>@&RwP&vU`_ANlb4+A(?Hv!JkD=_{8 z>J0$4DO&)i_8Gt_1JzH!7-Y1;n)VK8(Ec81hameKu)Qk)Htd3c4d!ET{};I50`9kh zmI`eAWdXXk^1-1BL8AbzA2fC__YpK9&|ZQ@2IlTTa|bO192^ZC4h9Yf9-A_liUFnP z09&p>&{jYj0S!D{u3m7d9I$o(aAur>whu1<6R>sb2A3`aEeu?qAC&I|HHJZxeD)Py z0Q;su>j7;YWTQdG24uK^ZUcyC1mjGQH3nbRL4(dGbS__jF)nE4pizS+0oog&I~P1< z>)^VC;QEBn>k+`&0nOS6*dE*gsJ7rKUk6VMCMeSbnjmO?puGT%9F&j+UkO0@C&<9d z#d!xPJ!fA4G~HE?zP|y5XMsImIw1R^I{}cp=hy>_#Za*fEc&W}MKvgI`x_{JR0E4y z@?a`C5s+Jg(i2dc3QYS*-UA6h4%pKT^=#09g5gk+04fTCMc+!WI05CWp-vpA$OaX~ zz+$#4*hB(O;h+o#|H~+Xfj0!bf*>If>MjNqq9KJ6a6o}PaBlo(cp(!pRVX0YbVE)Ckrmpo3@y>%3a` zKwGCC=RpzCq5{;tu$F7L5v(nxK*y!E^VFG_)Vd@#tH8>jqJ1oM6Z~{TIkwpAQDkuI4|1 z`^^my?~V!xFnh@L0%#HIvwwkC`D&bxz|Vt*V1NXduY3zY5RUXJ5O2mqw4k=9T#19nf<~2YQVM(};7R{zjH~2N*n?EC%xl*}H(5Y!-VWK%#wr z1^(%cG|&h?0!bEZA$P!etO^+44H^BJ^LEo9ftwg zxLqyV099~x=^YTdmHxlcdc71xyzs1JM^UTY$n>EHK>)r6D04L@=!grga&?59f4& zU~eMWTm2q{P{8#12QaMx(jAaUGbHjAN;5nPNP#n4yx0N?Q^+2>F)sswWJABdfFeES zvtC&LFF?9h`OcRn2^nDOmjWSm1`x6e0-;V2vWB2B2m)nknji?2EnI{kGBAA}143TH zV6BS+Orb%5E4ae80!ZCefkj?MFu#EV%8(#|#dxrZg%V8Bf+m0grnBDwOrrk_p!x*3 z@^&($Tmd#mKzf(I03iFcE&3v_V*5Yhk`%gd>W^(NgoeUXQqURy@HCCoA?K0jeW2?S z8P0pRZ%(@!=cctTs9T3pTB5(Evk9wfA^qF#8j_hfG)abAC1?UuOghZX3r^^pam?m+ z9Y2YFe#qkM&fIu3&4PIf$jRGr$pZ-Rwy%$XoK5qbXEY=p|368p|9HLbb}DDe>GFw5 zj?dlKW2dHOd)>41qiOTRiLI~i?`jpM{NNwFtI8OngIy!Nv)m0dt`>*c7noYO(az^2~p=s;~rW{#rg%#n$cO z-gJ!pV}W8pE@3OJEM3JI=gsDo^;)9JOpm|PyRjnE_ES4L>;%+pUrkzwZA@I zuCW@ZqG%sDUaq&Au2N|aK3Q(ET0ezv7e8LF_56Lx(T;Gk-rzZZYSKRFyw>G%FbO#M zpCGM}HfdSI78&b^Oxj0W(oT3*{F(^9nJqIm5?Qq`xYV6ku52}}e1olM=$i#*61fyD zY8aWtWXihyAEw>}9_p`sAFm`O(Q3<5DU^^DS*KEILt03-q-+tguhUABBxE;9QrWU+ zorGi^WlxM<_89vt=Xamy|Nr(puh+TV_qon>u5-Qbd4G!crx_L<1{RjFaXzl%zYMG` z-^Zo74*fE)wakg@a(&lnV87wI-SztG(q=(s6J}aB(r#=pw==Idm%bTvbK<7ft+ZPk zEbJ`mEu<}jEGI0rtkSGDSle0GTT9yn*-Y4I-A=o`!Pd^U-d5T!$Zo<;>rUF84fb~S z_4bri^UtnRxaZHCQ3U6?ZcxPM>u$j3bv`qvsL!9jNjW>ub&H}mUw4agWB!>1<<9(h zON!?_mleftzRrsBa{ie$C4Ty?v;2_oOWP!<2uyzDpeSgh{1|G)E zu&L@dMvlG)7kla1l8WM}(f$kKh zZcAg%C27@zKCI=#+ms^AQAt(u9k(TYmhT&_AQ|$=6wRS+O++xAPJX|{9-*^H&An|L zS35Oyyacj1}{`s-q~IA^Z*k(pe{vEhR0BBN4~TgKa3^FFJtx>YjKPVOAs9OmLaUMCX47B<&$>vZ?v z-7purr$B*GKvq1RBcwL}bt-MITBngna26}2^G3wf(GEV9hx$hGkFrejq>uF6JzS$6 zoyp*nq55Z$wY87@nK=~Zqwjio#yO0+_$7(#ykr*UqnvbM#x{&;xUJgqc$klxW8{Fu zg?X>^Yw1dSNgR6mHEwD_KY7%2^7Fq{dxv#@ru}Fid@Sj6@cNW*1YhFpd6IdWjO?)y zQi1TFnS)^_XXnSAJlM~g=I*EV&+H2m+3rlg6Vdj1wo@e{=W{V{(n610y-bGYNV!r` zj1f!btd2>?3#TP7Nv4v^lxqY@W29wR=Wt>1rX>3XEo%plEoXVqNb`Cb_!Mzc@6ov0 z7+uezrcOg+*50!ux1v)sykYUOcAb59h0me|X6ArrSiuWc$);N-Z%NeW2Q`0=4O|#H z=gAZgD+u+qm#vCt^CQe4VjZD=i)|JgFZLIM=PttjG zP_b#a)$gEA({RW0gO*Lh-Yagg-citw8Y69LZHgpK*~irX!jSv2h^c1O)Ck>&?bc+i zS8%A9q{xf8jS}Qjjt^2pk9e%FnytiG27BenEZM$+3`vuh%*_&_uaBIa z*Nu%mF&#dhab!v5Y0*t;W%b^z!PUOcLWv1SwC1g2V^2-Tj8l&+ZLD<3%IF{9db)6v zTvc5q$sH#0Bvj{uwD8GvX>a&7?ReeLw=~JLU))o1jqFfc0)z|AUKCOneDMCJ-A*P>}Y_5z1F!p_8zF zAJ+L#Kv9N^<4~lWVNwo+0kQ4HGO5=I?|%iea(s|5*4F{j7?A$5g9w)dsPW+~4}hcy zTM{Cn5Ch}_R@;sX>^KJYZ^Vigu**9DIJZDr5^t9TI zcn%x#U&3|;AV0&qB7o?i0z1U9DmTFAcLN-U4F>`{6mN@y!Yve}If3{Q@8E&r9TqZy z9ltd}pAPWf6#$oF!`85WaUY(Yf*A*_9f$*w!vIGf1H>O>{~myK3lK5m#YAm@J7C@Y z5fG{HI+Q2EY%P}MhjkI`RuRuu!z><~yoA;8%ToXael5JQW2!hTHQ^;}YwE=^fomvq z86LF0W$`>@hcAvRKcMpKJ3k-|qtm14z||9@Xd_<;(0DPe64S0-ME+OL;l<;y7=blj zy@bUiya*lVaTLHBaK~sEX=BS)gpv4a$Q+EO`UUQb6>)17yXjf`z(3=r$I5 z2SROF$PR=uuuvfuqJq#I7D@o2?O4bhgcPyRI}o~qh3aAbH+E!*g_1xh9}C?EA$u&8 z2SVN0(F7Js1R)hHWC=oUSSTHYCb3W#76M0?0WHIunqZR}-URRDed2{1WD1)WTwoIm zZ<=BeY@l14fP%GWVb4c8T8r23GYEYUqYsye6%ft-Gw2D#dw5R12|`!28i|QiqeS&+ zq^SzwvmXV!LoB(sT0|X%6Q$YYimka`>SO8A< zhG43L0K~Ee2tdqLfdIs)4hTRrlRyCCJsAWbbcrAUpQNA2g3}q;ff@)vENg-Q#2f_# zAVy6=0HT=;0ub*J2teo}5MW^eIGquJETeWJQWMt_vXvh|PIWI3<=`b2AvtOWby(D( z;YfINa_bd?sGU84vg=QP5-&s)Ux% zey2g?6b;XN?BE&H^MV;Y1qhFFk%Bn^so`!kz6ox7{t=BkyBs;jg8=rz;m+a@C?d8 zI}}ky4#6?W;OK}Jh$w?dYc+_#TgS}fKx7V!fW15u5b*?&?cnGa^vUiah-?561#pxD z54$?ynVJwhi_5kJN7kWmHCnJ&0mM3>HBIoS);0zV*uH|Rf#=N0@T9yW?>ic9--Sp? zkT1i2oyGT4BpaZ0Wr*0Bd{$bgL^xP);qnPmtzy1<5OE!cpz-GWEv+Fr1C0@{`d zH&U$$7@5aLpl#+EV8jQ+Ca@8>k*d_e2pt=d1tVlI!UQASV8r<-7+DEMI6zDYjEul@ z%e;rMmm9>Cc7u_fV8kDc2!WBiSHVa*7~uvZN1$7S&Ty_awB5B3RGXlwLf~lR35bY+ z$n)#!>9Re{^9{}EhG zD2T;@Jy*EBs^IzR{$y~Z41JmigL5yz)v%J0yup;*MPnDCFV;!7S5)5P+~@K{S9Wb} z>Ae!SZi)&$wyPtmE3TufqoZxy&Nd3ufm2$g6~VGO z@}Mq!xpEnQ(ZgTxbnwR)sG9MYR{RA|2d5v55mWxKgF?spbd>g#4`f6{KeO_ms)JUz zPtP*-6Gg6L~OE6o~OFFmWX&vSH$COk9T*`Bwu`X)O@XZp6fmn79)YH8Anf ze~4I-e+?#bVIn_k3ay00VuHo>GmQ1Y2vd*!YPKR7z=(&YgGX1 zuRxA{X!SJl!6M-pgS3Oy7Jyv(h;fUi5-gp~NFo4*R-iL|Nc?|>MIZV%c>B=5q1T80 z4TV1RZ@BiMe`D)3@#!MrB!jezHMQe^#%|Ws{|%ijC^-N%RUqp=B=bLmYnte{NYG%A zcCsj&k!1kNu0RHT=+6HPkv{ZqnDn84Lui`#e378ZAc?UkThMR-qE#S|KBWFX!?zFp z8!P+Jzp-kXcz=<6lwrDqCA#~+Lv}Ob3qXMt=wu(-`9H&~5B(dP`p~}tz5h4l`q00z zd72ooNIt| ztM3$=>aI6VIp9qXJfn~~$e$tlV2b!PEnKN#%zp>VD{dls$unM1?iI0gpGi_Q+ehGj|kk@yJ2qXP7iXnzeeKXq`6v^(z;o19oX9#vRN(j$I+ytiJ)PtFl1MKeFVh#u5WRQX z+fP-!tj%_z{J!Pk`Rv;g?mh%tZktq(C&a`bJozXstI0nZu;y6Zj^*vV8B-4lf1h3X z;GI#Ye)T}oL8@S>|0&V)8Slq`Zi<&n;!!U(G*_7#@VYZ>Hl!TXrS^^TwXQs7%G!2j zGbJ*_KXi+U>!KH9JWSP=G+}OmlJlIneyxieN-ZS&+VZnzLDJIfT zB$sZwsgnMLG5fVcMPq!1H-uzTyq-5>i^tewO3CI|WT}$IWuuA@Q}^{npVllysx@|O zcIu|G$x@!wqAQ*|RJXacHf@OaKAzq*?$>EA5j*ErPLeNV$Rus=?nqRj#ynP3ZyZ#Q zG;p_e{n4XykZt5wP!=V zf`4qW%W+Tr3qy_Lk3zCnN|56Ie&0Z@OZ&Mlqh%>h)$NO0>(b4JdhSSK>(~I9ZTU*Z z`1&NaR?((d-!sP&E`|Ese0P29Q2j{8)~)DmP3fhJpZw@&=gn2zO)uOcMNfR9Js6#} zSE;bLos|*pYQ^6Y>udke&nY^V&0>34wuz;BPMIIkbMW_tU)TEtNb)+@ddp)?8w9eq z4SB2e)RFWSYv=C8o;xe$?Jf7G4&L~8g!iRWo$Y;DyA3L|H1=qP69d05UAvwtHCk70 zadkE~GB(sgKDA`C(~zb7*7)bO1t0$kntqMS*K(jtZNAGLqvas=UWHb$j`vQ};BUR? z*Ry$(b+p~FQ6XVY-RHl`dVCvTM36~{of7%7V)@-FN@QBU*URh**4QE|r&`|P&mwtr zm!pYtda~~pN@Uy@7ZbHgO7r=iWfJ*2<=5#Rx54Lu#e4pGwNb0G&8i$lPa&BZ-YTYn zE+zYBg(H?%kJJEf^T_*c%A5%^>qq>DboREy~|EQN4 zFBxw?VOMG)_H@`6KA712>{j>}tjb76<$==D^+?OQXV-nT$9o0jcSTAq`v0j~J7hPt ztJL0=-zieg{af9EA*XuZcsa3W1zq}k<_XU{WYPx(vNa-U(oGc}j}M@Th3RJkJI4d3 zgZjKR+GQzs28Qo&WCl;F?Vj0K!77|#56Ry#-^KJg>HDBP*idw<$NK9eU#E)$()XBN zV<$uMSIp;LlNd5vLHA*8-(~L`%SPi4P^CMn8^!hAKEODl=xVfWX`x@$<6~4t$9!*W zhxggpK%QYrr8+Cfk7xKI)%Zp{-N7X4%R^i7u_-W0nH!vn%4eZD^&eD4%hP`)=hq<6<`TnO=_x&9LOeV6yt$^EW>q{P04;Sxfx zz=6xLouat`ONo7|#6J(d8;Uh7ec2_KA?V054Gbtg+*fjsEEVK#`h7XvJ-ElKF5I2` zeIcX5iv#H!1T2IYQ8h-C3o!8aeX;aGL;reunDVS&ouRbyYA37KH##@fZ~u^vG)&@E6QdbDY$%J3Is8X7 z3JE%Inty!pAv~4L;u3!Bb5lmcw3>CzZ}MyDrB?}6Hwk))O`mk?ZD!$7q>Z!ieD1}d z?oejwR^ca3UyPorhtbUT7H%5$%i5~8cer}9vv`AmQ#FcA! zo=mnXz5SvL*Y^CuhYQ@lw_j6V{QJqjc8!k6k%Ai?J(O}^neD}%J)@0TW%>Rk#>(!C zUwXHl;~+91q1nkgOFlZDf&OGl|FOh%t|rCJ?O?4d)}uZA5Bg z5i*!W6FT}mj|wJ`6D;Jxj}-82H+-YbBx=*q_&n;EK-rzh5_Z@iQVWZa!X)a^QQbU> znn3cf06VM@=?9CD$RwVkquF^hGJ%>pQF1=gfiqfJgfu4c8XYyyqYo445-j8)9XR7B zi;%=5o~5IKc~m`tLOYQ}KFVlekwN|11iGDzbl|{d7U2Vvc#)2x0m?%e9W1g19SOoD z2W9+Vk>SLOc@!{#w!nT^?`Dxb>1cEUrNHsvtC2-WU=okh(c(Oso_T4P;rmnn{}93_u_Tjsvg)fK>p{ z0H6RvodW<}d=m#JeGu8mW4?rRYFk=+} zYXK++fCn?MkBtC4!~kYsA1eT000NgMGe7N!f5QhQG z03WU#089Xoj~U>@bvpoQ7{Cnh;kq7xdH@tL1AMq{0U!tim;pXq*#MXWpbZ!%*oQCx zxflS33HGr8fEEDsF$4S920%ClFa!Hw2Vf9@2+Y7f1OQ0F0A^qx>t>1K38-l;lN1H; zIwt(OYIxZy;br4HhLVlJna5559%JAr0N~6+9e^bOhJg_W&OBrQD8K+P;=q}Q0stKV zSYie^^AHCB4v4bE3~=V548RBg_gFgkLapKOjBsgfE)%eV*>z(0MG?M6EomCU3UYp2?LnH4Zslq z%mDDm4D3S^fSnk?4D90o0LK8}zzpnT2LLNE0E~3F6hpYQ0s>qb3oeZg7e4{*DUb!A z6a#Sa6VRRlMF6@1@WKpePk{sg@fg4itf2zHBmhO20WVyE6aeV}z#=as@H>Q;F&|#W z0(n?&06+!v;YBMD1K>FZFaut+0yO~U0q6vV9d;!RKpqBwVTWBE2A~xHL(IUg_5kn- z1DJtbDFJ|wjlm4;YA*oqFn}4@2flf}0k9o2un&Cm_+bDuun&CmECVnGj5O>6-#mpF z07e=clfWR)p}(jZl_O)sgY*F)0YJon2>^xwWB@?MfDr(f00;(v007Yx0Am310ia?4 zYg_>!0svDCU=0HRk^vxL0Bh(1;17T(0A#FT0zeS}Qy9P+dH}=#V2=T;aSebJ05ULu zH7)`W2!JdA1gv2MKsEpr41h-dGI23^6!nr)kw5VbOO!PYKotO@X#l(hpcept3}D7< z09XLf0hj^?IT?US0E#dG400j>^8j=LV2>F|01N>Tg8|G)0ALw_F#s|!;~fB_0HgqL zyA72`;AnwpMdkS9a}a@J5RlhHUJscDnFiSjvJ>QN$Y{8bWzLMvICAgO@4JR4b+0vl z8){>yU;0J&E;M^4SpKb*yY`9B!F6SMGPl3BM~dERjp`WA4qy6V)*5coGV0S%kTT!! zS9Q}dL0xOX^EbDIq-9#vHH>60(3CoeByT5L31xv6OHdR`Y^~h?)SqP60g74bS>o0XD|)Ie^9Swqby^#$o*t6dUFNNyfx>AWnmj z;2&7xhT>Q00#UmY?|^@T8k;u(utEt6P`6kl{Sos+0j&DJgde<6s9#?sS;?2969|ew zK7e$aLt%_L-+-gSg|?JnvLP8g@X*8S!LLjAqT}iD8>X>5}uEHiEkqKp$LJ3 z4+2!C7k*I4!R}Vror5R3Fo}e`33B`mFtP`fq+p*Q_UQ=dE6~pg1BxaVcm#z% zEMNNyKG?ueR}~Z`P)OqqZBXoiO_O*cgNvJ>xd}Ag4ls0_RiIc8GKJV?49FB>o1*ft zq*jjPOltoUpRMO-1v{$aSv}134=_agZ*ie2egRhS^bJ2`Ui_pN@ej)Zyacej3^3y> zf$0SMrY{3QH2?^f@<7-Rv)D3Nt2zj?5KQL>x<~~;99Y;H6TZRh5`(TZnJ3GWmt}Ez6-MSgIoTRf4%cVE$a?5GUW69kc%%M4G#oaZAQZP z(c#2XJ;aHHO^c>pmaAE9%0l8G^n2%`>6F=8 zR-1~@12pI5*F*Gi)A&m+UEpN3MSp-<9u(KodWdN>C`15Z8gFA{lo*tuUu{rCT_{7I z5>OaiE<@4gPzYQtL(82~i>BH6576O!DCEf?ZG0I_MuUdZl`^E(u{e~qoNfy$RWRVYTMMRaY$P_3UoRx?QqJ*`TsBQHk z*)DzkUt%Xi@(cRazmjDiL&De{ZoqyELLUQHp!|9rHU?FJ@E@M)7Zfdxd8TWC=ew;3 z`irMRwjw;z=jpY$gUHUqocm7KdjkQ^W{V!V+OH7rkf;BU2qzGEtW0v$XJsnn9c@ z74eQ+T%L9r9IJ0j$j~v(FOBz!qc1P`^wKLALZ)P?Zl$6=q-EBe&jh_|_#TCWv|U}t zyJ3+rT`)ka8IGhVlDyP;e6*JtvjwBH*5OYSOH!vg#ixPJSa2$%tcPc}-qTK16fr_F zgE8Y&Pf;OoFuiA;nkbjx-H41irw+<(LKV|{!HMeiMWu{hR`k`YT&03uQB>*mO{I!n zRrKAfTBU|wQ&j6!r&3R^FKY0js5H_Wi+*_hRB56&6*YUcsI<~si`u-}RXXS$MZdf{ zResZd7j=1ct5E6GDRy7eMWnWh{+O!5Q14sVN+vIse4A47<(Z*}P-7VCgA4n~#HEtD zsoTE6GjvSr?_f0pZ6`XDXXkE3;D$tI5#z4SV6ID4)uE5O{- z4y8O zCM{|+@AvDEDrF9KrcR}P+JB?AWP{Gt=h7Cn<$L;HjV+nv#r|G+SvBh|YUpc{Z+826 z=HTdGw}?@Xb%x!OZqIK0(y&!3c7J;7mzeF6cT2)6>)@=x`Q8Y_cha|NX>_XhRZ4C9 zUEii%Dujkt$iFV1cA(SfkGg4@f@~q#v|2&4aLVJUU8ysb@KwRB5Y^h!-h0LC<|(zP z4GZQiwWy(Mc?GS^bh$i_HfFbF`_Oea9!gey)ueB{WlT(0Nvq=gEDQRt{eHt{=E_$NWara=d zaV5ic=>zvBr`$ms?a<0%JCB&A$s64HLJihDawJ3b6tjxocM9T~w#rcqXW57_%(n|I855oR&x(z!-!1JSN-)!b>2aLIz<8_FGF7 zfqVzZ?*(}sM{w{F99)8{j2i+6hk%ldDP2Gj015?;aD4|9d7v;UgQ(i85FFHk0|Pi< z4On^z`q|h3T*8D90K?E%l{R1$0HXmcdBg*ShAGg=iB&-114>aNP#yzC2D^kiCMOUm zCgVWa43r2=X$DFXreKvQpwwc@Bv3ekqK_#*ff5dsbgU8%loU+CUIc;ChAFK;$;A|` z0=H2P?vDxfg8eIE$`7Cf0p<4~@m&!^2kyzJURZwzJ%O0Oy@8l`1sP(Z6*9y`9%P7# zdB_kG&mluhG(d)!NQVqDF$o!BA|5itL^oszX=v3 z2F3d|y^u0bp{s_4c zGQ5ah?;yW}oCi4%aysO6$fb}=AtyjifSd_A6Y>YhA0QV%hMUYQ333wTT*$c$o$YWj zn3e|9G{_~8OCTpgPK2BdIU8~c2DDsW~#Sv4m3KUl7fbt5foB=cfs~iG~HB4S%6)3D~F$Jp_UX!_^-s+(4Pc6e#TA!!*9c zW6C<9K=I?+cXV|9lBfoRIm86VH1XY-+L4dTPuY&Vwo1DA!LRSM+%suK*;PB&jo*2x zmDSPpV!@oItzduTz!R6BHw=^dk6OQPy6E^cM1hTMt;uGQbsI%i?xwPB5BpGY%<$QT z=ASwFudQ9)ZP>+aCu`Plm!(3Bp~h0DSSG_xmFR@-MieT*K20#d#ik9@1l>aw=;kR6 zhK?RCDvmOAwz5qVqU2zC8x#VW8_|}^;|v{({jiLSQ$iK!gd>*W02y4!@%0hk6hNT_ zMb;4Jr~+pib9jJ*3ppO(cwi0`SwqJdI^VpXpnxGbcnFH>Xdnu#gR}O41B+E~7B0T6 z0uC<}Lr_#-TLGK^%)x$kVGcfPGn{n>l%rO|S-1${g3CGx%i{R5aIyapJb>8=g$xv_ zkAai97W|xqq5z64Uhw0`)<@jM0UYHWEQ#8caFjM29t)Ha*tA~)RzAbZU7)^O4||O8 zNvq%_PFQ&d9`$hX7953fLIXd*ayS$M)?hdZHdzS5GA{hK!$FELDclJM!Al@8f+^tD zLK#z_IJE|@NdOe0z=wgr=V5ey@ZTT?&yY2jsEG`C4=&~6f5*Z;8?TAhP|RQCWim!x5hplGS5TK;=|;$7Po6zj2Wz`)}y6K>7b4x-8j$;|fdm{|1jF;s`@*HE87^I`}{1?jZU%I0w8~X;)zhN_o{tdxF^l!ksg#QiYLG*9Do*`aXBD`maUS?(J|99A5VEuPoX4(H6 z7g_fIh91lQ-_T{*{~K3W_Ww6b-!RB0mWTl}X!9USszK+%QN%t3S1mC}Jl-k6J?}gh zRQ0enmJyf6@vZ-LTV*yXB&xdZKi9i0&a0|lxnH7&LgRJew#rOx6Suw{cT~Hd3XjDx<}YzHdVF9v?YcX|<2v`HtK&gi zr!nIZk&xb+cfEh%(&CT?y-_`zy;k;}10}+1qf?SVjPgaL;U8-JzkYJ*pA+0Z*JYJr ze=4`B-aMjcf#f!T{S3^o3)A5X4aD)Dw4GO@L_uK06CR&&pk#?J*o_?YCs zr`fc9`ugwlczyEJXKM&wT-xr!v9Ta$WrhoVN!FZWQ*_h4-rK&eFv^9KVmzMrK-KZem`kJW8nT%$+Ub2jXy5;z& zsY*e;r8bKX=2@o>=w*ETHf<)6@k{02_s6crS9=F)l#(|JrmWIzcNvJ7FLKM_42Td? zoh$E;oC)3cd3l1EZovHGcsXJA#lws?(UU#zjLF@u4s-M6pMRJJsF^CaPj~EeRyPeG zd&PQ}!*3K1J6loOJFwoT1b%(hs)c%2#|(I%sf5(0iF<*TMxFkVq$@rBGh5?Z$$T2t z+j>z&Ugw~sk7BrJ@$aA!wn6^R=x& z;uv2~{a%%|^lMOTZLCz8cadvxqX(Cw$-c7lMelB2 z7S^=9bLMmF=j5=S5k7`dJ54;DmB3#mLZhWMuY{lA_~|O%-j0Ngmo7Yee@5MeW%{kL zymD=`hrkcZMup3dj8!J&d4<2uMg;!t))Wz0-1ms}W>@jtCx1nT@5VCCr+=?q6wipV zC8ex??4G{sp+s`W@M!pPXM!d5l1jr~>5Az3JKU-cyopqq6W{I`C#rB{PBT}jPE#&- z&$z5T6T`W2TX@Z|xdy+C)X|aby~R5xx%4!*zWy5h8P_NH)cV3(htoR5C7l=o`fZcqDM(z@0a!oq?3AJ4Ki)z)`T zm>)L_jIT+ldC?_tG|p^?wU5&7%2oS}gPb!nR^?q@Ns2r0GW)A)R`$I6`eywr?9;+x zMvCj4O$;~C)``s&t}gT~T65-Hkh|o;AN3mJJZt!;15yHB)!7|o>8vqwRnT1^?eD4O z`s*usp*Kl<*4OH&Tins#eij3(vlZ8`KIopi>uj1$|1ws{`$fp0-9k1uoP zDUuZ$gKkP0eVyg!JkU5<=X!N=Y{#{PWXh{wFD@o&bjb-bGVplB{Owuk$1PFjWgke?zqXT3Gz&j-9csd@&^oYk?fi|B0E`MZ7R&})q&Rp->C!F?WJnq`^h|Rh}ySuhVZxV|=lD>CK zY8t(be-l@`W79yp;%kX1iCdq7!o|=zwi5m-RoWqrz=qSw&fyz}?;dHi-hD1XVCV>U zg7WK)viX9^X@t&Q(cHVtnMlzp0aZ+Kc%gOS>%bJ0x#?!&=kl=z< zHM3BdnVlH&`*lL{v1ci5i9ei9YEchPy)=1wV``($hf?7`4WVynTJaUet~V(mtHify zJ;~NMc=D-iR(M!p{TldV+{$p+xpxRcTiq5g&%pa6ePKy6(vR~ zRoLybW!=JIAuYq-Ps`Xs9A*Zrx}q!wC_yJCwFF8AeL0K0cDEi(U*^5m=q0_%;F+S3 z-O+}GwL*6yend}=ZH(M}zlMHrANPmH<~FNM0(#$jXdM2T;cvb`KC)Tu{eyGIj)24uoPWC}xQ|=%22aF1nU$dlcN$%s)und2>&p*;snzKB} zW#8R%k|)Xf{Jt^emx>-bx=3v`f72XRIsahx>YrNSAIxKtPepAc=N#5$`Do}mnw$&J zIk#hJ=V~QQXJ?0Vdu=OsMi!sf*(C2v<=z=NVfp&Bi&6z^=l7E9uLJgOJ3w9MN4+a% zr*tjg?aS+o#V@DTGW5(GtPgm0^S$@wbNcb+`u@~gwvSrxA*HptJgb#kF9mjI?Rc9l z)HIc$*)X$X>nCq{3B_FpcCS5|c>Yj%(z@qG_x}{`XqyP(%-V$OHH*Jop;b9Mm}5i zTK~!AK0TT&&!hK4J6kxfZsc#w`!{C#LnyOJuJ--gIeEK{JWFN7lm~Vtu94fBpZea# zz7$J4eQm=3>uRNKzt^l$BB-jA|0ph}HF>4_28W!*>%Pg)Ub6K3b6nK<7qw1V-nQv^Gha3o zC8QQ_w4wHsB1^yaNBi8B)AtpHLhg=6+4}t00{=hpRmsdmf+hP)%x)OF!jRCTpyfX<=;k}(*oEOhUWThG3)fVT8wBHuOWOH(9){1)HqplM`-V*pc6*Fu6;j-#bIlIq(V8_PT9p4hEB_C!y^j%3j2 zc4+lp!U^4DhZbCY)|wdX8hI-_TtisR{?O(i-?T`v8vAgi&BwVRqrvmXK5ababi~|j z-KCA>vnNTMTAx;HmvwZVy;$0B)D_1y$3AHr735GG_e}Oqwe^4_+j(OAGXs;mg;U)t zeE#0to%T{UJCr>y?|O5@xslUSJ7s10uQVmyR9!3Cw%UB`QUQf_yjjFK&Jh1cM#O%W^9S9b22#8VY{#-(!y93@BD+XSdUrZ3Yr18q@)Iic=Z<(s}pl8HUB?`|&i^+k#;#a+VdN-ygelSk@ zeqi}H@v^2Uu|BT%oax)#5lQ)Hmh@Go71Jdno)P4-m7*tT+{R%}>?_4Z8+aG;-R0{( zs9O;m7T#GN-poheQTIM^bX4%kni9uz8Dd40u@|O88|KWVbMxgY-g%WT$X-)^vwQZj zm&W=@Tl#3o;ep3drGj?}4GY%`_;RLo4>?DA(W%8RUJ0C8sawnu;n{nuux=R3;7AAfEk1Wyass2uW0mT(TeGTZe){PP*rm5*QUQr>RnIdR{(nv?U4&7@&{kl|hb*$kc5D{vN&!QW~~zr4)kJ&3?B!PKuLi z5DELqytU_h*5~V!ng<1EWWK%BkdeF-@?&m#SB{O*3zy5K&76<=d57 zEue8OY+&JqQ+V$)e$j0L&mGd=#OW?vJb7@^_D(~CLy5pK`MX>PjGc~ahD4t#+|X#L zT;@yMZP%%{)-ZL;E7`y|u}devJGnflyrPj@c}g-vr7zMzV`bn|GiKQ)r_DLeC}s1_ z{Z5Yt5?&2Ri`UILoUn_1ZZcL7$m(0+#x_-S|6KdL4#)4&R^6F^yQ?ddt`}M!x>BY8 zb$syNXqHyv5&;Ge;i7#i7=sfH!GY zN88Ec2kbm{Pw3b^+Ed2utI`tITuVD^>)%tn_L^Wrfz7twsVn;gd$*T`Nqv3pb@nW$ z-2>n7wPWI22QE<88h%=9P20AcXIRNVy)51CMbqq|(oL_lKe-U9CEYUEH-w9}FGhw9 zr4BcUFMS!>bEaDC(Gk*AN1xX!k1+Yz7p0b>d7QKLUzHnrkAyyDpJ_<%9S_PDSlHd% zW$<{m&}}@`;CMiG-TR9r<}{DepxvguMkTl6>NhWIn6X=qNT16-^WJ{(V3xFf`}y3X zjsJZfKC2{QzW>h0ur;~jw$DY(so|$bzOJ7<*=CaMB6Z6-vEjRbdp-A& zYU{seH(hSCJMU|(UBa_|$+$C8PUn~`Vei0#O3i3fcuq?9y)U12c^5Rdec%>cRC|6P zqjFQu6Z@ZxZDOfkFKHRBUlg|!urTlX-C^W`zVT#>rihKuoV>*t3KcWlr*w~VQrXvO zq#7n9Y}@`hJGb-(@_LuxcMVl(Q=1IG~PCJJu>*qS@iSUT8g%&S_e|>ecG8Y(9 zcdxF?jg8WZ2q~T4vtF9-_v~` zUohKNx{g~42aMlt{MAAU^Ku@zdLi(W7PHPEHF3{50R_UC`AAjnWuq053JaO5;SI$Z z>+<4F<{jev-qn9Pnwu0cLFPYsSYGhOkA>I`*Tk%EF5kUfIeIT))S>Ahg%>PU`)zJGo`Ksi@=cnLQhnXe9?2&xCU&B^M4+z6nzz z$HQa$Bo<}(eQIKdYOSYkOxl_fZV7kZnsxllzMLsxOC=@^j9v`hLRB@pz5C*_zrd%` zi5tW9tKtI9B8!p(nRk+oZfnUsO+=A{?d!utFF*X~6Wmd|eY0{&Cg-jn=0kcrcUrO$ zQrPr(%b9jwI(xDv(#DMfAGylBKRTA6ZQ;D>&-i5RTBq@wRr>35vP!sO$WzzJ_8Z?H zOy4R#%x%$hdgQX@I@_q=c88*{yn(G6gY!>1xNE-4)h`mC)~d-2c?b0mj+=$PHShnN ztyC44YZ4U|Z5?gxJ9s2vTi~9m$z5Ytzh=SP*)QG+_u5lXRp%TfQ+PgtLTf&MH^pd| zwL#`{m$pFL{qw4MaTwS9idh~*sZ-9iYvFhG#)XGCQE=&F$% ztJu?Ad?2%PXJhUCh`+mQDtHy&tr$BwTP&_9of@maUGO9<;pbu9ZI|O5SJvyEawSdd znCj(opg+2Qi9^}iFOAn}bk!2&hJ-NvE$^9(+25rx4&j#`GA2FaWfbk2-wWo<@$|@^ z3aE`s{noNp_%`V*-=dzm$+K6+5h~Rse^n>>mT5obxjFSoRpY#bN#<#VW?$};YY2ML zH6N;{gz`kfxa+SAUiRd@Zz32kpq+Eojko@c%^hn=F_ng%+quyk91$;X_Xv15ruGeg zsN8zdS1?~_pY~_{6YIV;9oe^7O_{x?OTlDp~HQ&C*((LDYJ;03gEc%QUoDGnhK{9O zobAYP@Uh^2>}~LL*>vj?Qa$_Gc$I1Xb?&J!ojKEpo_n@`Vxm`#I_2{RO*|~vnf_$g zFmGa&@MDQJe4!KZ!m>Pj8LtZ-T^s6Ze1t zJBO}5aQ`!XcgE!E+F<=tuI*Bieby_*t)3N+y?FTZ4d>rG_wQ@!IGzn1O4NUo^K))N zI6AoSh8jV5wp2~0FXh{cQrU)~tFD`gUWxs7=>6^mD(%3VjOXF!v^h;f{3FZ;c_#E*L{p!r(}5dNe)8YsJE*t%Sz?DpJ=Wee zjtRP-(yVIhEAaTUh1dsDG`02B>4lDsZEyR!)hmB`D&)L+zu2I7@~4|Yq*QTSHt&U- zo%=suet%^2$C<23i(NyRPlp$WbZh;iB2~}Ut9Rag+jj7PWpXsBWNU;gACBEGll>C1SV7k z^8J@)>7(PAlwy!}ut*afM~^v1t_rbA2c^N9n|48ZtKIyqqV^as+Ojf>|G* zPm#wD!y)(W=(s|%4LFR+ZGw5ayPaChhtU4Au*${KAcv5+S>dCR(rD=i;WjL zH4frlhKaXE5qW1Fz@wff9#zE@G2#GpD}#7ZYdNK5r^4hkGX9h%9hr)B7bEK%9O75| z@%cytmqx#Dg#DN}Es#rI%Du`@LG3C%M~@b+R4MS7ctPh%asGYi zbVtiwa-8B<$r#^B%L(0`;#bK~kMU=Zi4O6rBsk*yIQEMQ@v9_c-VrZSm6IzcVnwKi z&5wyf42hU^R?W+!A%4CW6aJ&-`K_hmS9{UWLAGydiTKqX_|{1moUn^u?SZC1B@raw zs%kf;j=hs@Ey)$X+J%CDt6AGMNBn9hvU1d1v&klY zwG-_}Xt-UMEQ+Yzf#!0#vCa%i3)=zBJaM+9bn)TsSk%X#IZ-+^d^>Lb>d!8f@BJzP z2lBOS_K_W&oPZRGvhD@KRed~;EC}G|KMGe<bExWtIa4|u5iffZ1JnjNUao~Pt6s-+JxBG3U>&THyF7IxgQ1d zjt=szev3tE=U^UizL0eDV`2VXVc$)5@v9g#vBD$#O2n^X;2Wi|t+7=6Y9p?+RXA=g zC}Pn@Oo%aYYaLPAvki!O7Q~zSmD9t;8;~0k#Gi*qhdy7A@$p9PXcTY%ZaunI8Tg%t zaMiL7Z(anlk6Cu``*rBrBaqb{g{#(Rq-ynC8X#P)jKU=>EqS{Juf6;^y_195xU50bbU*$-#bqAV#*QVRnW6c>s*SgS?_>o!HG97RVFDOfBf1PJ?*@ZNg~ z-~xyu9`q=61Dy&TMcg{5b>9B>{gv;We9w9A@6p*?@u<+lVyI@g# z73v(ngY8u!YALMXn`b+Gd5bq`e;MC7-@>i20)eU&-KJ~1dQn=49W%GWl;>hfk1N2~*;`=i83|nLS9(Iq1Zf8G| z9XFtp$2Xwu_oG!G^_b@w2g9z)s4hp3Uf!Fa+bE}Q?lkMdjc_u>pH?5@?I~=4>l+mG z`(-^olB|cN3MFML4VWN{h2GIBGXB?qHi~tS-ln3%P5F3KwH7uW3ZUmVjp(e2fr~Eu z`wlC_qM&H_qEbyx(M2fNu7MjK8uGbo!q$+lAv;Y&*3Bgtxg-jdw=~q^RE9lEBO!lq zAUR$wN8O5lfCs;!Gg2yXL|6p;FglQ8wAFZKbvWGa;T9`dS$E^Ta zn2NIZ)uYqq<#0Y#NhEK;ysgW?wpu}vO%3R^Z7J;S^ryJ#jcDB-3ZWn5l+ADGvYkty zVXB;V&uhd-y1&D0rHn!!HDJQt#Za}$k0j9zXtOT_va_T#*;J25_Xk6Fr-V*7ThaND z7NXoGWN)d%qJJ%d!W1#>{+@5kBVT~)ATb@BiP)MN1ow{!6q?5{GA$5}&J!rnp%#0N zX(0Wnh(@h5qwa(no}-9@3afF%sQ^&&8+zecC7#Jp0Wc9+=U1THStSUMMdZ1o49!0& zV3LbKTbfHyd(I!e*)PyluVU=TmBY=~{9R>57@H@9>?ASeEaQ!!_k)X5CDb>e01q3b z(0^4zy`LD-sYn8;KT7H6N%@#pECyQbM@caT^ePh|b-s*z?sKjxL~v!KoGQ{dSJl2C z>XnlR-)xV}3n8`1pFSSfV*>MmJ$VYMeXK_t@`k3}N}3yQz@t_#2n|wEs7pRNH!c8& zp#ijUtPzVYd4g|601a{F&C)a<7A#Yf-TFdoZSerp9W~WnDZzf8MK37qcr5?Rrehx89m9!(S0j;l{VUJEhYkzCt%{Ub@ zvixa@C+BKl3cO=-a^buse3%T+?#akFwUPU3GSu42D5k!F`)U&8%=e@7J`LPgpMhna zl#;jAb6bR6CkgXZ#FYkYq+n*!mt_mT7jRz< zhlh(LlvZ!#z8VH4Hd1P}R++b z8#HvLwiw43^|K`w4JAdC@{QETM6WdT^{8^bf1a_O;{xgK_3W)#pr|E)<2~w_{c?fcSZmSS8(EL7K#QhXc-t~o+bW`i@in+jW?{kQA{tY| z|0`7ud&i%5y{JHAP!+p!T|{3kEXU@M3Kn7~ko-U?@5C}z875H5!(!fmCG0i{6t~KR z?hz(7ODQJPe+n^pO(Co45L4Zm0*r|@vZy2pC4Xzg191j+R*+J}u6)ehdV!UFlu};- z-)Y-_VHItD6gbX+UAkPBVw92Is7Kp<=h%m2Ic4bdIQdXEYuxBhZAp5R9?4=SgB0Xv z(Q~dc*_>%gdgso!--!$s+NY#-*A1MjQ|xWIitO|9Iaeo{IF8>VgOPKU&en}lQ}e9? z&ebtC>Sr}&x)*V-(%4964T*D1oU0$%k^LH)U!06>fEzj;VHJInCU7>{Ca?=!O0~|SBav8?Sjyt zGNvoNc;cNvy6RlPbd@0Xb@TM3rjqIEkXT*L(}ZX%^Yb{7*7NjooQTUS_KWau9YtkS z2Ee5u35g+biJ9JGQ^Sw>tn0z%& zoo>VW-t{8gR#8fY4V|6VitoG$AmcDrsAD9qxez;+#GOjqYY%8l)5F@CthOaSgVIsl>DA z

8e^bY65j`4CbuR@mlGSMqrM)z7pmg)0EH-(Ijej;Fd><6M>kCe<8s?b~SE9z}h zN_bv@%Ts+sE6dPBbIb8Wrk6OWig{X0Da%JsQU5E;*-8uJYO=U{l8k1JEM{D}iB2(0 zd)o^cSFYmSHW{UNni*H)#C1_}dZ0Hku11L`hbU-^HlJ}dT%231pk5y%<7$X_Zo85U ziaf@ZlPFPeG~$2(M?3WkhA}{op5@|J*B)VN2hb-Ox!C2?Aq4;APv5W%-3o2O?c4yG zeuTY;-4WvTDynZ`?~y+X&f#iWw#jo{4RoJYmx%9kr*KF{JgJ(8=+1ZA>9H_htzPvv}Hcz6e8H(go{% zo=l+@G)ayKIl}{K<*-tm?Ux{Aunc`}FT+)-dxVIQfpqU56}YM*Qth9))TtxCkhSuTJk+pgYM>G zLj8X=bf$!D1oJa%7-%Tsd$vQJu36nC1xb$Auzs~t>9bExamxssV~;AMpUKGcMKw12w*Z%%R*KK}xOB6-<*8xD`xqw=HE%&(pb$HB$Pr zw*)Up=5y7k$rU{gpetGIU0=g>*Rs2QnTwVkKXKDe zs;NHSfPUfExfu}}TBprJOVB^LKS{Kd>}O=&-pX|}YRM9sj~2;OZs|rHnbJ+@=hDsf zb?B(5-;9>tS6u5#o_5C-V%`oXh$`fXn_P^WBZok67f(Hn7S{QP!|Abs1o~387e>J& z=5HCga?A@F2ZIL(Qq-VI+zhUuxxtfXMHMRi++fKOo))YTu-|7g1kdCtr(0xQ#S=0L zbi_v?>p5O9+*3#Ah*06;1CW382OwFM@&NXfU5?a;98aI8#9wwM3s zDh8%nq@nXu!!np7nQY+jTN&Kb-T;`7iHbP9f4tffZ}caF^Bexr+_;odjWhDmr}Lh8x>!;i!j-3P#zHZ?(ZxbpQqX+i~{2dRQ63HmTf> zqi;1pTmlfjv0>NsM!1v5(cy2Hr!_Uf-g*8cnQM38nEC*kHsdWehp1`Nwzt1ITCSm% zv2_l7vqww)lWX3-KWF!1s&?R0Kk~HxzSV*EI0usc=L!ej$vVa*bEyN5u=BJbv)F;} zd$BE6Uf{rKG97K5ZF1l*Mrx_m&FH{cMm1%p8ytAhUsdE$nCrm5XZVxq?{D$8kwDqq zZ}I=wF4LfL3=n9Lr6P-s4Ala%Bak4_ zpn!lZ$|%K7MB&ANNB{+FWz({eZ9pv)8!_#u%)=?VP1U?#U%fi_)OXLVbKiN~lEcD8 z<>v1^0?q*N$^YdEw{Z}vtG0aSj39zgb+Gk2D}&!|@4k-jtPUI!PF&k@9+B#cAMar? z$ZF}`U_W{}JAg63n;wugdw-k_ew;;jVuBuZf%68n@5g_l-TC3*!O=b!aBb82PEKH)cj5jp|9qt)Q@vd8VxUm$c+&v^*Vhey*b2us9bqfza2;_tDk@`J=bFwq=wxP;Rm14;Me^3I*8Hg+fW|j(F z?T}$Zg1cZ`h)*6AO)_nT8nAs2ry}KlI>JWGO(W!?iB|AR(t0e!hwicFq7h*QXTz{0 zf+!H0+T&2WZBrPpFA7V8h;sqot!|M+jhZ^?>E)fyFw(~k#ng?zmd_E|AA)k8#vET)s z6rwVwRp=^N1b0dHQnPF!w)R6>qN%=HjbU^TY#8}9Q8%3w`X^)n!^GSt2!dZT6i-A! zC|Q9_C1@@BbY_+OT!g+IOVvhRF09w4(%mQ-syN>wq6GWt$L+CjjEjb+_E*B7b@nC{ z-Y|I}1iLUrnaO^S#{`JX($ecDeoe#%lq_X>l&?G3qSJ#z(Lwa2fwbU_;}x}PG>pH; z#b0KK+UckB9LiCv46HA6QduR?AeQA?DIRuYS8W-Fn(EB*IGp| zkTtJ&3ox?6I{8VfNf_B%htHzT?WhVf*D-+4G?(&!BJ`h;;+S&UKPR;ISN z#>c>QUUJDf#3sFQa`lRJB(8U851BWAnTQx%i>CJRkq*kYQoLd_2+v>H3{i+4ZTgEg zGJ)zErgpq9C=nfAEM($?$0kin8`;(=L%tdrx>WD>LAuOU`S%uAMGcfEtvH!je$Z0d z_MCRCK|ogi+4sE7>m=0v)!aRqZRed_CYKaDa2j2smT1?{o=%8bZzBp@tk=JoWPkA; zA#?5@+Qp)~h${APY`6QEXZZ}2*+;f^pt#&rC$t2?6iLGJFq}j8Y4#C$GxNU3YqM5$ zw&jzU#xu9}ZDZJWlrBGSA>ZC0396Oqpxs;)jBLb*bKa7+#aS4ZylAN5DMpU( zZKq$a!UH<6&(yIZzI#O;S0!cYA?r@Q<(i$8^S0_x^zY>1xq#5HPe+k>h=l-!u&Yst0XHyMST?ld(6R&XK1 z1{H^=C+x0>-?H*MCuJBG)l0ci?Y?cCXmrU}u@0j4(;3o2R7UFj@5Q3mv(=n-wW%@S z>1Dxh0WPEJ`!CHgZ~>;=KkFo|%1TBR(M2#%!{^KNbZ^)iUhYW}lQuG|XThf_nawJe zjpLZ-M9|VImk-cM>z4^>acx8;k@#8aSD#bgYEtZ4o|y*}M5&7Wvnr~&#b>GFWXaW~ zj@XyjvV?1l4|jTs6!1-oI0*%E?mywPL8!0mvb7oo&~a$cF+i9w}??#FF$L@VHFcAJ9h z+Knxp(%V!|#SpuRTNWPi~QL&v}52@o5li{ zZrP20t6D^vGVI2OsP=xt$?IB$l$NZw>*&@A(Ik#J4%Tpi>~A)sIk1?ZW#UGtGLDzG zo&7HW{|5m0?nOAKv#nLEc~ShCuf$YCQ;`69_UL?YLeYmvwewV@M{Yk?(HMTvQjBW|ItHIXq% zZ385)EK0m^du%z=PddMFo z;3rC3352nF;tjer=y?NgcQIxs{q2g`@qUA0E(+f)DHZE#9?VOjyBzaUWC~50g{uaZ zST;!~SeT+U2g=dQMT7E+Kn*XcY>A8TWBuU9^-9EwJ{4!{QjlL60GH#Bwj;&)gB@}e z{%N4xfhvrAu^`=iNcI^XBjr(ISTe{F<>D9G)*HmUHqnvRH)3RwPInfLDASCR(Q`B< ztO~qbva15emh9qCJ3AT27QjY8)qAd@S9PADC{?{DD`HjWaSBJ(JEg)=eU_onRG*~? znDJ~00(?9Y;W5hQ9+T?W3IQkQ|HM$~1Xi42LM9zCQ>D^#;>hLXf=o0))74eGmx#lJ^TPF|X4+TimKX8<0rq(pMy#Mzv?|EfzUa$at8|Y8+ggN*K=v-*m=3R5zUo zOrlM0{6cG!n+WFna?0Msy_|AStjeU^6U~bVJ>|zvU4zWAJy%m9Y|nMv)rK%RZs0jp z6w^#OVW+a#xa$k2^=)dHbc#xU)AUp;jR`-gN-^CjFk=``@(6EL#2Vbu&8b5(;I8G* zOvZypQ)Ol$nMKrcW0?`DT3BYpF9((wajJ_YM#{2cQ64BV*MvEXeGeq7)ffwkJlE)c z)`)qzA2q{(?tM*}pTrOqN-9*9(|I_^fzv4Vs3g z#p;69Obo!+3N`)oRfS1E;!8f#C+q6_#8vatfS3@!i-cbJu~Q_LHrUTyx}u!>blcA) zY%$S_)aqgtt<8>Z#WI)ajNdKJMP-Y^^#w~*ZA?e}!*MKJTb=|9*EWW&^VsILgSH01 zqgx2JEkG86?GIDJV|xSA*)3JdLGuDavM3Nc7dnMe``eW>(czRbk|n#2rE$qSb7_R# z3M{83g=RVp2uvyNRF?xqJ_Vg_pQuL=<;l5cAA;;jONALiEmK}sQ_G;neRI+N1-%p0 zjpXfkR)}t=TET2z<%oj~_Qx)brwx@(BRx!|gL|4$;WXEiR48qy0p$sP=`@=hO^`Zb zJ?of5ZlYhEBz__?F{WU}g-7Wq?eFLkdqN*{+RvrDgkW~$k zvuAbD%WSFoYlOv9-{cLb0H4NYFJ8tE;VGOZ3#e-CtM zVFs;NV08)`5O|!zMxaS2QHzJEUj<=%Oma3RNKL!pK;4d`wrv)M&QRllgn~jwCZV8d zC#FK3+%oi&vB%C7+mc{D#kL0JInx*s~rRQ1{WgByyU6x$Yj9Z>xlt==5G z8mKpikWuZmA*jK7ZHTdP6MD=;(u5wnZh!^={|5m0vq~fOf{$44XB9n_i4N2sTS z{KhtJ_Xz3QvBuH_JT5d?V~+@RIbdw;9g?$cO>AFKc2jn4l#;_HrTc_2D~*?7Bxm7e zn6*NqY5&5C%{nl|Xp@@F!63g}H8|WjY_t&EbV!KU2DO00K=!%Y(UC>&oK|Gk(j5^Q zg!?=*0*(a1TC*bx|HJL55SZ0(WyfIkTC@Elsl3&Coj1anx1o8g5!K4HaeGEQ`KB#8 zW5nr)P93q@)(|~Oc!X%$#vF9gNNrXIQ#&|SMAGhT8{xLA(?+=M-BuA=Wbc5E90hu# z!$kf)xuy~ce;AmR^mE~^~Btg-cx#ndBO6M(vz$u)*7Dfcb&1N``sW^=x#mZ z#$iTj=&)82yGlp5xmhUGjcz(l!KgCOJ}o^?#Ko?0n~(*1m$BQ2-eswsBf8s-2S%r_ z!49-38*AG_wX;1LLjhnUbhJdM+@aw}#d*HTopeq>VC34SSrk%y6*KrsxBKTqrjk&hn6?svPR6RcG>IjS`-H!sJ$yGhHC|xydElkg9 zppN`x`qD7R<=25qqp2pf>sK?R2APJc2}Gve9|O_GTNO1j!!=Qj%;+i~C^Bl3t9gI- z@6^%s`d2_V!&MMaWRj*|qh_LV1A-Y3fPi3TD=Wa5nE(zjoBi(sgjsY>0CCnTC4iVU zfDE8oKm}IyERhveEnxia|I@>ZDkaXe1+UXQaA$eGnJadL9iJJFn6EfPJ)RPzax zd~oOHBuCt?ZpjIcZfdePxp;BssvloC)p%Y*l0M$^iKL3RlO(C)>kSY9rwhMt$D}!| zY*CXYOA2fSyzKFk80t>EB({3OYc2!*Uh^4F z!q*FQjNmr2Muf{Bno{U-FuUZ_2fOLALX2msNNcmBMm+ao1bLndE_Y+e|KiQFNJAHm zao$H~3O$?Al0upg=Y_^pcOmBMZKs_k$!?5M?R%^h9CGY5E)+ z#!f3oq@rfVk?t-foTIx<4IJX0Q`Fg66!($Zn@d=w#^!XtA};lu^fR|O9tc&ppfI>8 zEpSP1N{eCz8=uBoLil^=WOLdcDy^KhM@VL;VQItrX;`Kqn_^ntvZa_-&iunV?YG(h z{|5m0Q?%Sd_`0Oi)*5E%=IEZJCrVEz=_!)LiF)$%aiX3!37wD?`%FS3{T)e`NWX0< zR50)n47m*4HjnFbCx&C!HdbRuW`x5Oq8PrNAM@2oBuDQ>GS1O^sf2el*1*eKq4v&* zf-MuaKckSuaTgS(As$2%h+K8Br$fVH%g2hg#iRn=Iq#v7nS!XV`lzN zcxczJza{)m&?y5m%j~N_iMpMU5xr|XKWH1~y%JLAplbn@)jMB6Wxc)1AX&GIF(`D2 zs|VnNqvrwmNI1VgHy!%NudYg4{4LvW0e{QxyMvy6V)otFTf(RLZH&yp`*Q3|6HS`^?$ABy%$LFHJ-ZDtf6s1fZ;$icuZR0)&fYP;lCyd|ZN;h`N~^h`r_ma0 zh{?O*J96!A_&$@dE50{&$M#<0`LVGV{djEbHB`=fVSn!5S-Z^BU}TD)P@pH@fue{p5-8Z4*$If5+cjaes|cwCJA)*ux6oM)be}FrX+2fJLUw z1E2+V^8j?D*(?M8;J8OXFg#KIU;|2&KO`<2B?lg0M#(`=`rWeN4Lb4#coAiz4VuH} zri6ynxj`ZuJZ=yuBE$v<^1`vfftIsI>LE0w$vUyGNir+ELy61-TXAcJX~|ewqup*fY>Fs(EBWl%MVK1B=iI?d$x?CP5LBvG=()GO&^M;fJM@he z+YB9J8InTB*ugLMQd-9`p{2^jL5QV$TrP`?Xw#u|TwKhaC=-ivX+i;+U7Aq3Gne8e zgsPA^0q~UVqP_`EfM_(BF5$}vExM^3EEroV`xC{M%Gm<7*>XB@@g?*D(HJbvg**3;$}vOt7C!maP*fBP{B~$%VtMwSr7R+zV@fbwR=^MO=`u4d@r( z^@IJOHsvimC`>sx2?|pkn_5vguEg{CW|$w#twhrlC&L}{41Cl0R#--*pY=G15i0B4 zy^YNqn5NV1vUtip3$P>nV8TE%gD_L8R)HCbEfGx927(!Wmn?wF&M5QF)Kz(Q-0da` zC^PMzm}}LXK3Eh{Fi(J+Wf;P2s@|+Mn`%1kFdO1l>zRFjeihRqQI?r0vj^Ro9(9<* zm=tOL_zXkp>N~@b(GN|(hl*_eaxS6O#+VC_yfNk`nlY&wF%K{>3NUiNKKJIiiPqG~ zf*w(XW>3Q>Xt;iQ-lE(Fu7#5n9ikleok~y1kbmD(L=E5fvp{Yh|jq$>c9jryxPAA46lxjFI30ri^4GL%y=@?I=$#8{)^Ekh7etHrB7Mx zNWW(4`r@64==Y5#j9tuT5Mvh$mcQ3s_V3_zmj^<6_2stwb-$sE>@k6r4Qotb zJ?-$)D-@f*^okv^h}Q5|iY_#=5r)XHz0r(D>|J`J`a>GisQxHg;+a>`sz#_du2ekj zs{Ewd+xUhh0>-H(GE!D29D9OKBM6@0vuWZoS^c=>vDO4^)2b%F=CrD*4Hg#^DD4TrB@bRKB!;H?K*r!Ym+n)$jOM&CkR+}pbP4w~3p2L)`$x8^;RzsHRZ zneQQj?rH-;XANor8xoch=<6JO1kz#$A3?e1!S)jgeo?gK0Rm$Qo~RAFUv%Yz{1;mO zApbQgN3B}kriI>wMDVJDKAbJ$s6N{QOv zE(G6X?F4!?S#{`MLk{GJVsxlouNWP%JA-3#?&v)asLGR5+HF8L8#-<`QOJ(7N5LWm zJE9%|Oew;N0lN%v{Ck>WDL~nCXx$UIQKIAA+rUrWI_o|H4V31fx-|%Op>7QlWWkZ| zb1HD;`$481;l8I*a#21zl^k=~{SV@((mr)LP@y0W^?(8CbUq*lRyMjpN0F7(OyM2m zK?Pj0K;gPgXQy7f)fvGQ4Uwtt)c%1s4W=>scBrJ(J{(G#z7K~9V5D;QJ)A(27A9Rs z2G_J|PmTb9kft7a#HFbxv@NOa@kdT-dsAY98 zyJI4LcHkJ(lN~tLe??Mz?QsTR^iEuE%W;C7lF++a`G2KvKF}5!;D)wo$nRrq8cKjkIn2?Xmo#X2~1-E{|5m0pwOio8%8?&H>+?ncuQE8(7OCR`Z;F(U=}6=k~j5lcjFDu%G1!?;vb6-#7@)_cspm zM*4MFanLq-xUrZ`oYe+%6K5TZ+yvku8(Nl&_>qNla9oTp%w`1CQd|u9C4_au0yZkP z9MX-7?ag;1Mu4H%h!G6GTeM4uP$Ffh*XxhY9z(aGB3!<;P?v{;2_$_s#|;dT=tl$P z@U!!NIb7J+f5gW7=Hn_MzVIBbb^P6OB7-zf*YLIR!|=Km?>GW$Ctr_%YyY;n0MFpF z5q91AY{b^8H^apvxh^9M9x}_x}%G@*25ml-6Dp#LZ{$CZi~x z8`aN=rx^2Ss`V&|t4!-HLfOG{E1zMokM`TZ{iClsyl|cxBQ>2DOi)ci)h1t)P%EdI z+}n?OCijj#0j?hNceVzjF^)BfcNWcY`Piv4Tr{@t4i}B1D*9CUd|XtUd;IV?Q=H!` z&J=f*?TPSXelwo;iCvBJedO=sd_OD2W8`lMP~`={h*e1pAfU$Vf!`Mnn4k}y113yG zVxJZ@kt&zKV_kkW5g+bD6y!r!X)vK+^n*uom)LgVKI;1~xQ3|W3eKdexR{3}4bNi| za%{q?%Q@>!`X^Z7gxbJYa4anhhT%!v3RW%jQ>X%9J!Uf`PhPkT$y3L6tT5%u)E%+0 zsZt)E>5cRHsr+nMY-*M6+brVKpd5`iybfYF6HA4-=^~Gc6mMpyEihBNaOOT#_!^PyvN|3B9(GsqUO9;Ns`VxZQ=tTFvCHzN! zoLHw9H~cGUTzz&I@k=eKB}+HH3tH9H1Xl8QH9_mpVn9%Hwiplsn$dahjZnDY`#rmK zFqb|k9n8%_d;z6)?iVR(M!h@c!w?}_?{Wq8Jh<$~IuEX*4GSxgl)+B+iKt$CeL@-2 zUZ3(*IrgXc3}-ce^T(N$sRdl{hz%8J3t}xR+Jd-H4r*BtU+na{OFp88?)+s7Bnh>X z0`O%?991vuyh3H=Xc6Wwl133*;%k#UDpKUfjB*t@DWhCPm-|k%JS>)cEN>bkZ_DfA z%Dbe4Ts7nOAOjRv0W?gWmH#D{=g9C8VKYe+hXa$3BpKb1`{TBG&nv4is7N(dCe{l}zpAWF=j0IfcTIsCxN> z6qR(BPc|UUO22|~7{#@q?58X%BKsdP5F_0Kg&`FSox~(+WDt@o*6((}$$54D{! zX%0TCc)&wT2zni*(;cIM>taW1xH8Vbv|3|SFi^si7TxmM8ox#WIZ_mWA+VyMuCsh`J z4)PlOvj~jXp^0#n8}N=!Vk{BOa7fi_4h)1VCpLs5MYdys!0Kra-K zIMODrn_^RwQ7{PcW(W*4?HK|iW7$GCt^E-v&7iW!VNPzQ(gUW)j0I{Y2PAgGyt#rz z2LzTOv4M(_^VRGOLac?gb69y`Eo_D~S0B?s2p3g?hl8DiU$6CImhj-Im zq2V3Rhub3)x!~f+z#q6cN|70kj}iiz@m4E7qdc#-CW(%qr%ECsXg&a2tzFzk0c$(f zQNVh{dF131nJGaL;pBnoZM&j3g4xc=j$n2q+QYwqwsWzHv>^_ZoI+$Y3GGHyhX;Xc zk75E@hOvoY52ra?3Ob1wJ;>g^L=W-+FVTkF?O(JZ|1k%#avz0_w>%ZGVMd5Mq^Lr% zqgRqqtk8s@vlz0$_bj5Eu|4Y{c`Q3pM;{reIK+%;M?1%%UO0g!@jb_=2^KQ)zffCrx8jx6@TM}4>!R`;y6Lpe? zC)l0%&^>m?4#bD+c@-tgb9F)#I9#1jwUc*##DuX!2O+2T34hRYej?rm-%zs>xpo;Wna)E7ix%L_M71@(+7l-_uQvENwEI@pA@r5BGzWDr z%K0?ii}HP@j!mpp=u2!h_UTSlXeegtXX<)eT_`+jx2MvcghP@*mCuAEqPae+b0qOC zH}u;n2qFqp~+u-)?SDbvy!lAh}`39!RN6Y~Eq};$5?vd~kL`s>A)`yi^z5 zEW>@ruszaszifkaMqt|@gZI%E$&k9H2Qukwn?k3(Iu{qwtjdL}=SW~2vspHUs(ZKl_9)`*=~+iwf1>qWInn5Dc8*Vr_Xdlk1a-uMDS_6oU`k%QZ|c2K zx_TUwuYtK!I&FEQAIJgRzz3qdb+PZ5g2SY|+UvSMoPDYT{Y<56|D)Jz2OT>f%R$Ex z<8vT7I?i70C$QUB{t2j|{na@a$7HFYE+r3YevwR^TIkx#HzR-y| zpuU&t`D{n^jlVBq*Aw`;F?t%R=Si2woFk*8F$v2kX-eh2AVc@n?6BTH^XK!`Xi?Qp zboy;u^+n<^G+KFj41rcAArkzSHuUViCGDt!Nm?&$z5z_C#7_Gw^qG8DI#wBzp6=QN zgP1JzgU+59eWJ6;iO7DqHLU2#F?s6^-98?AMt2PJDNZ3eYMl*piqivnc}#VSUZo7( zqEBVvgnmB#EU&3Zo2{;qO*I7it1VkOnE(cU9KX@hm+jR208>D$zwK7um}#LEPrcu3 z#nXglTLELAmb8uGmfRyVsejUPnt+3$Rg5H^9-*JNP>22J8FOh&_Zn=8O=9}gT*g&= zaOH0^!9)6o=Cx!@Ba9})14{QpXn9F{raEG zbie+;S=iVA&uoib=20bcnRx)(TxKzqF^5?U5Y3S}-6d+syv^;Nnz%4M1h`3e!BX{9OhNCf&4I`1z$mtUUiH4=ZPNg>ZpPM8V9- zAY>{mg`$~)oC6XjKbI+jNzY{=Kja*(`X7-j>my?_sv;3TY6oCD10Qq9S1zf&3 zXwE<(4r=2z0Yn+b+)@xA!N?aZnKM#0ZB@tx$6Q;;$gv9T28JAiy*>-=>XRK#X;5-v zVTTIC%o(zYEO7cFB@3K=HOUlbFDf#{`Lps`f)*~imZ3|(ugRhZ0|vENz>9(Bvi2CE zxyp)>CazMvq>1Zf##OVSV95ZK5Q)~G_IVRX8Mn%WD-+haV;0?1;;*kAa4C>1?y+nf)S#YC5PIm1PVgxV+VS0E(U+0Jr4 zi2@(5D5AjU=Y>e|`HCk}d_5J32w&%WX}nShsrIWB$glk>li+K27hQRTgI~`fVd6Jc zbY7#hmP#f24i&YfD+MP)#=l+dTt6TJuC-ikH4*{=saaBVH9}*p?0RPsctT@=k%G`t zlg>u86!^)r`t!Z!b@MesAOz`j1xx5EXlL#bX@q20la2!a2v!X-BWn(ZYjXF%YZ||n zVXVfl^;3?ogs=yk?wG8e6MyZ%OpWNFCKvAsC65f^LNh^w5X=__iMFnBhx!%zSR?G!i>IC_JSOZt^W?z}H9ncJL-?vQ&kG1@xBlXY+HK$fjhjVCL*Ql+6Xz!y zPKo6B+^{%KO?tIPJYjH6701Iye4e;a6vnpkfjgpneBhBvjf>|e8sdBtU^i9c-J2B0 zi}skqk)qv4aHQyqDPsv=#OPSM6y-i9@Jr0b`ew#@D&A){hrdQ($};9P2ZB-IVmmsq zwb=H}qlS=_c8nn;aTQ}*?Du1Rapgxw2H`20009X8_Yp^U;v;&u0_IZ2t$?VMajRk$ zrQNECL20)ZrcQiM_RRwYT4Y}<^g*xMG# zDfV{4@QNXTw>zegj067jB~!o{Y^0??B6)l70E{E~`wyc@feyuJQX)JL%nnVq3OO+L zONNy4fpZ{|vpkQW9gjQ}Xb^e}^e1&YyFp{#=2wux%(-1EAM0dDM{zn z7thaCPfxLUd&>H*-OFa4!%uk*-@4n`N~-$N4U=8Fk!`(@Ze&MaqpR6*>d|I)l})vF zW3q>D+xXo1o4oWUK0Fo&4qrhmYx+5!g9wjC8gA9_H!1V8_OAG&S%AD92D{mJD%zJ6|JzL{@6-lyniu9v6&QF{o! z;tDp0OXz~li7Pf1Ac3j`IG$9AA(|>Fw4GGZ32i4^JXdz`K7|qz0?w!e5`z#LYEHR0 zt)vhdqRf(*ufR}jYQ>u92Il5^(u8a=2KOT-Qp+T;9~n_otU>QY3GL!+-ohBPnCnpz zR;9z_kCjLVv7;x_LHd|Tf-1127`XD;vZa-nE|Yl9r6hEvb1x~zB8*y0^a{i07rnxi zMMk$Uae>h-%v)G=RxRw#qDo%pwD6M8SuCXFhD}6x;nBFWURWuE7HY6lnO`X-l}9QZ znR_${2bT~H!RaMOgK%RmQCH1|NAoBx9miZt^IGSGml+kInpzEC=R|rqlG&FY4r?~0 zEBu>H>F*F`S^Cy-hr6OEbSNRvl}7OhY5GxwjINt_dgbe(gLYQai2@NZqMt!oY_F!P zo+D1&mQ{n)-Z`Ia91JdM%8@k^nnGlP_}2lMAeqTVI4xrT+DCQYu{vPqCD$Y&DdZojgX8zWEpt6Lji#L<{h0#?cEzG>6l5fStq6pJ#( zLq1!#agvXyXq@C%@3)>?q5%6es>KLnCjXlO#w)O9VePjA0r$>rQ|P^O``}M+LgQ$8 zv=m;?waPoBNPDH{7%}+X0xb)=DB>1{E{fJtjgX>74lx{swrx4Q!mTh`FQt(M_U zaH|z!m|;_r-P&UAnNqZvdk2TQ?cOMjZs$V=2aaOg{emNH)I1EX(l=*=u5{b1dG=WY z1?$>0<{boOXO;$9*8wF?tuzj5Rde*T5i+E@U$VP(Kt=D1%yo!55)xTkzD&2}iqX3eDIY ziBOw^Yr=o^;F`!liMG^iT0n0T)z$*Lef+w?juvmidi=VJ#a{KM+PH8W#8sI7Qz$W~uCJ0L)QWO#o@sBm_Vj?W(4lM=Q0d=FywT0Ghh}4*(ux zG@xn?{(r8D)c0Ng&)8H6RcJ_QvdVt%e^Lc$vht}^Lu1%f_y_*AN>8&s{O^w^Wl@oa zn>PI6kE_Va`eP=rvi@YFtgJul_x~w6_yvE8&MKL5HyRVC+>K%R`Wd4?NtOK9{HpT( zHNUt#)4gx{we+ggf9a&AFQsqX%SLG$SNd0)#`ni5-0wA^3O|kh)K6!KCHfN>>@WNT zhE@DOVyZHd5;xhUT><{8Dg1%cqL{xIqbu6d$f*D5JDJLo`w9QhG|JNq`PwC)@%`O5 zX3~ZAbxhlgl8gUr#!5QVE0nJ~69C|=&TQFK=w^mF%6qnXAQY#NFEStzfi~4>GQ!czWsRG;$nC)$_9W11GDOcR58SytXC8nKHwgiCuA3&>-~HFQr14N!BHI-XDmv-gyKP zUwZE5vPC4rY_J%}02|1SGQdXVd@%yKFGzGjj!n!(Mf1FJCbxph7qY@dR$AD!l_1KX z{@LWnVzcErl85u;G_rNsRir?dZOwr^CuN`cD(7W43&x*Xw&x|K^z?Z{3OwES6+CBA)Gzk(TlA8IDyKMDQN*LMX!^bIB;64n6=go}Yt>0iJg#6}k|h;TnL zP{lfI0feZI@BwaeeiPr0VAJytB)XUUzH%M?ecl{rCGH*F7UXA0cenWt(%t2|yZMDm z96SCr61$F9=*6xRy<@x&Ih`!;M}gay`h{uri$$m8ba)HO-1gLl(#|-wq0+6to!e2w z#i+Bql{};7oMS2#={zVpog?=+Zm8=#d1!6jWbQ5U(dy>7faGxu(9(r-Cv>%Uv;Tco<;YtN-H+*Kz-aS{R7QJjR=wG>B~axM2l zxLl(>*U-YpbtP6#ikWVZlVZV@O6(E<%dWD7Z0xHdQNrlTxH*XzR&G6Eb8v}^9ar=K zvq$!a?d~+;Nv2S^?2;*@F1=*HkxPG2*hYth4<%$mErxliBr-CU(cJ22$XYo z)MQfNcsl0GqVUVJ#!i_N5a&Q<5%@WfB?Nm8WIeMAC@**dnL8n$iJ0H+2=gqSD1SSX za)9v9)JbNtOpEYOGgBZuZxF-enjfE*lPM4U##=B3Kl5htlJOGb$&I%1Tt54OcR9U` zx%2qq$vfQ&%s0;{LtZB&DTC zJk%AxNQKZW^Nw9LxURzP}NH<25SFOeQFJ;RG)hG zTd1P$fD@_)m5c9>>#DX~J`kxBmk-n-o(ifXTG@{`p3=B*V7M7B95{m?rK8OtNa^Wd zFNFX)*wevX{nOcA*3eG0XM)&mqKF#BarWq~*LY46)PgekqsO32{zer2?Y>|dq-Qc1 zYi`E~HbIzNF>r7WvRcGJcBK|#gk7oS>~9xpIs4dI_h<|`KnMziWMm95&Q89sQ^a0E z`28bYOtig80~3aH(!k^)KVWOWNoN-!_o0(=9bsGBRfjRxcGXF?vh5z8&L$}=u=V_> z&L%Fl5SkvtM1&qrJvyVhRH4H*LB(w!1O=0gDk8L<7TviiKpEVI<$4%l}r)lF7=4lMCgn2qx(#F>h)4TEYkHu{C=QYDD zC4%@!f;K}qawEKGgK2RQnE+TsL^MPe5wW~G0XBr3y@6gizQtdh6)C*e>@hp zJ--gTW%iK)FPLSdHIjIjYuBpRmB=>Ee7SN>1>dh+(~QlGJcfKy?98t#)cu$){$6pP4l5aUO#WRuh0&?5+gD zZD1LJaPz%Nz`l5|61ZiEIR!R0E~~GAiS#!%#7;M9UW8y7TR^>E+Fx%~m-e^B@ZBFr zzaz#GnkC{IERrxSS&NH)^fa!-U01$lbbaytpe|bMmQ?r_yJghr#qc97moCQ}w2F>I zI6k{jD?YeNwaJYL5|%v?lLa4tNlrY9((V(i~)H#v=k5OwouyChcU?l1V#= zVE3HN&nTb@-~0PW0WPMSmu)_vl9?py9HUzm~IoQsrY!X#BTlc$EQ1z!SMt^ z-Hl9UMo23|nE_%z4%Hl67Sg>*{n&9Ysy}u-fK-lEi0h%GF^fYmsm@}M5h9(}=!KAK zmDM1GI%HjQ;mIU@3_tJSd-Z73}z%e zJ9=5LaoNOkWP9l=7};K3oJR_@@&@9aBTQyl((D{>ioJzaj93^7%EUgWdSB3_b;A`@ zXl*V971}cFK%O?|8WVMcM0Gg8eBdYQxf+(2932=M#?fc!*f7Z5Mo*-37i#U9|BY`5E%e5tp4=B469%L2g596|G^0QiM|Rx0`%;;~|= zvwxN;6pNo_>b+R!hA7kRH7MwNadWXp(b-+xP|^=G%bucRU$=yOU$gJAIy5wxYfncd zS<>S}mwEU2Fvcl80@Zw}EGWsSH493%*5LS(g?hfMg?CG>^`0!YJB1_m>DFlEL%KDZ z4R0J=Iy8Sqvy9TOCBxsxV)xRA&OVuyb{WkX!K}KgMkF@wa_E6|yEwZ00&H4V-gDZQ z6%3g6WkBTU?=>>8bZ3loHryE_dlY8n@}$1rkxi6O4HjjXzY}A^ALzuG`VFyQ z`Hu!HSiw8Ay0PsJ{JNu_9d=mE!{i+dbJ=+Z!y+#y`Po^;eHC`w?$mVjw&(U9L+!J@ z$AqNCxRt6ht0DVu`!70XIGE~;B@Ee)ux+e)K@QUvRb{Ms#td2os-!CTw>I=l9I?u` z861%?Z4`$&UK^?E%I7ILZq<8=amlG#Pc>66bX!fTt@4lOwBPD+C7`48>Y|Jvi?dd* z$KpIKU75x&nr)f5Gl9@b4OUV#SA+1>Lr-ut*rM@NLVIv7*F0NrLIb82RMQhR4b=k0 zaKBxnmMiYW5(~{0Unf3lt91(Ro+lo+X?FPUE>Tr&y%SVYTavH*=rC7#x(ZkDIkyPM@X zjacq^PQ#IFDanf(NqxHx*AF~+MZ+UX*McnSFVVU#Ue`by0?|rc*UFn4^0o4=`gaYy zvteBWAE+O)Ce8S`tWF!@Qoo3IIwJW-DHFoAQCvC_ZWJUg9QbnGmXYboH|q&WyI92D z*hzkvHol8MjEy%#5MvW*vKPm%>!@_h2>w{-G7jO@v8F->E)tRF*!OT1lZiO8UZ%H7A{${-s!^c7Dm3# zSP<3_NeWkjyvAlHJg;FCC}?XKg*mx+(Q+lgDS%hOTsb<2K{kB+imv^*Q?b)jN{dR0%?%!6blx0JENclmG|H3t*@V~xO2f3K>JG61--!%LL`&TXq6)D_sOlZFM3b*-}1lm-KJ zHKp0W<+_BL-?(!1O6UB~R#plW4`Q}!pMz>eLGGZe8IU^|^8x23G7yyyYg&fpBX$QN zd7Ir5L*8a@%LGCPe{F-%A)bdhW^KvzJX3q?1o#iNr2w8owJw3@(9NwJqAp}*?&AcD z7i@+Jr?iP-!)}%UDFV%0QBSfpSJVek&V`)5ep<)yW=o4GyG9V(Z9pKNleu7n0r?TZ zm92IpEMSlv@r?i^M|$>VBe^v4*+_0?=lR_zazFcWn|Wp#azC50>c&JtfRVe*WuO{0 zO$?|;D~q2ic{O@iR#O1?B(igE#Tmg2e14Uonrvoj|J zIrg(KZ!j|eHV&AsnJf5l&dginKWEw&Q8<~lCI4+E&WV{J`O}pCwTZAGEgdpZ@+?Zv1ko520P$w%M6WAX~JN#4P~Dy?>~vP!ERVL}+TvYg6k zUa%Y7FPkcK%@CCR)TRgJmg-Zd;O(@ZHI3-VxMN5alo~txho#ESRuQRMXfWoFn;x`f z009X8_iR<{^c!21l9Fj#CC{+2P+2KDr3%Mx{ysB~W{sXINWP=Fn^jo~?T&Ah{AP-X z9#vJ7>}hoOVy@)gLtZsGilpjDjv~8f=*n!O4kNaDXUB-GQED+lSV~PNqh9?YNn5nC z>X)7qRDC$qViKX6y}9>2|D2~{L#Ni}8da#>#7ZUlSRE%mu{L<0c5e6Wr=7?BR4dhe zBB$*AgxwN-zk94i-f!zWQS|pmNrd8k0BNQMOo$Y306s)QmVg|V5C`-FC8z}LQndHq{^A-MeWuytL?3FlB}FEO;Z4V>VbH>HYFOWB9G1Gn^=6m)@W0umADc=2 z@N3oBBRy2$4KCA>)U#SUmh`07TCP2DWM6G8lM&tN>X*wXz53-#e3VI>tq>A6>MuJc z%8Yi7iL$7xUhawrBfnu);qS!?`desx{ae2Pc zW?Y_oG?mli`TSN}$3BnMI(|Au=S7*0*STw?<8?_CBa9QItD@YRmTw)U8zMiUoEY5} z#V7G;MnU;IY0qFC5!lmOhYJ3*)+GULx09`{pWM1o811AdA~yc(@%4fKr_^yrT!lYX zWL2+3m^R+a8w}r0oj!56v(UD6RDP43Ta9_ox3Q{_5JMO8L!~MkmQbl0 z8o_RFC)LqthjRxs+7ZMmjd=JM^serR2D|e+e4&b+kqyCEb|Ejko!n{lbBA|2W~x7< zwDT~}Xa-x%Dy_SuK97Dd!_tfQ&N z%Ex>iOQflCzS1}Axy`>Q#{uz{lLbHSNPJ5!pfAz;TX`XlUm0DX<5#-p=lE`&GNC3u zwDc|Ji79PgVrh_B?uqeLGp6;yl5eLc7lAv(T)UNA%dn z{Q$Cx4e(F}YyB?-OxMv96v`HTN;4#k$xu}PHLM|S3%0HWaNulpy90&Jq zs`TJ^TpDP^%$Ejg=7TN1%=uue+`2n7UEAOe%}5>?{mW%$^6a*`i9Eb5R}xC=n;nKy zI}F)J`N|(x#;}vF8*(1!<2SNbFK3SU)iZCoY*$@P&NUcU3JDGR+CoA@Jan$?+E@ts zwTCVp!k?@?cLf$9ThWs}yTK6r)b6v(v;^5;h;O8&9O9dpRR{ORpXtH98CjN&?B6W_ zcV~5=ocCEB5a)7M4%)e#y-Yu#xAdG3=&j47{eNrEWB=ba(2*%k{Pu$3^KDA|^A0;X z&~-$2I^!Ls69`Frj@0N1p2V)q^RUkUSb#8;`i9j-0EIz9A2fk~P;jR%t^PTzq6(`$034&Dxt z-)?v)Ksr^O$-@S!*Ryl&r=7e(so)&#B@net=Wd_uRtoHlM{!d-<1yG9vVRM2jwB1~vOP)fQlCoFyBymFAn(W(*}kX!-%0Xm zJ>w*G6}J3;+T^oJ0$2MSZKI=0)NS+}9eW!+kH4E}uJZ*XIyX8XMl=QgaLGm7WU@p7D1e17zIV%?oH!EB~i?Y!gF zU0J<&aF?&sT0Ggtvj(rz#l-b{vkGzc-mIimcG1ref8Ru`!w>iU=6|qbZ!jzTEFZ#( zxH3H3;BU><#j=Nerhc3cgJ}EIAM*1(lLd&z&+rjGyUVNv3+yi5bgh5%w~9kv)I5FCxBT{M@smZ) zn*L-SDvG_LIf=Y(_*o*ao39RY<`Lg_^?#m-Tb-XLB3JY0{-9X+h0S^JBc%g5w--LF`|-}jY-$xr$rufdC#y@>$-2LSl@_TRMJf3yz_eP3$)UhcR1Y7^n3 zP;*)TET0sre^BWfFQ53M%k)=-n9}T`}Sw#Oa5EjmV_^*ZYKOSlz`H%nq2>d^Q8EgRdU;+++0=R$;Fb4R+ z2UI{ZumJV`1c-nS7zQj5_$T-WIDrcO0r9=|uYjk|{59YKDt`?mdjFmV0bshPK&3bQ z22cS`eHeuNU>*X_Af1OmAgK7cV4cf)Ij8_D*b72>$ajMfQ1jg&p}TK4a0WR&0(^5& z_XZoEn8QFfxaKf0x#=(*RDg|72}PZEGeHYD>k5#S6}ljVh4yR&ULidjLE!cA9$*6^ zy*oJR2yX{YAi>*#hYq~%-~rOFdpOPn9UL0Ha3+KF(CHN+L|b3Ia0g{x9HO*|=YuFv ztL?yfdT6sS1s*d1w1jQX3^`i$ih!?3()wW7Bc}@(hOp@ZrY?m?hYGNGSs(^DXvy&6 z-qQ#yf{jZYMN>s{hhIqLKA}rfxd%uUwVEZuf!0(2=a4rQz+J?1=P;{r+-`J$p9>hh zlSku4GN_#*5XAK+9|VD>pcwWw*tvkFz^@@92eeBjh~)B<1|mfXR}0tb;mkvKcvN3e z!Nmd_B!JOL04bu8%Y3&76{W9-k)% zHX*8-iy=-KP$Mc-J}GcCZq-1HNf0#WRV!{Z=P8m1fxgcI$` zKW-$e@{fmzKKfztq5jRN3#$$r;*4tL5Fb-iIm9o!8nv)70og;eR~iR5rADUGPC%)k zv=g*#k8C)QkiRc_?~m_<;|a%hr?%qWKgbdzlxQMBKHnVU6pO9EICx?!Fh$YYTug!2 z`x?cEtD;Z5>89x6v-PvlSfJ4NV!FXE8;E5J!FpgB0#sP2HgpwIQbTRl7Mm2Hf=>Dw zJ@gTLa`=eYC%>!~n#2(Wl02=5Rm`+FC4bOvh|1R#fX?0n(d=l;iwkmh$Dp$;m**+T z;s!TjL0`d*xVPgQ#T_KHeK7E=4!Tr?VS_GJ^kRm3qaQGrSw-F}5R6&IF zWs~{DFJxvu2|!kDCCnTUvNp|N-WN<@GRN!@7;=?mY0r!Y2y#tlW|@#=oS7sf6fD!z zJvR0~6lI$I14Uz7|3FC_#k3O%%G~%wB|S`SKBIz-o*#Wrdf`Cdkj@zqN104wlSU?< z*ifB`C!Tfn(1|BgnJ}E|V^R<2lBCqbilaH9`MwakUPeFDuGT^~X-c;vp5w&YvO zq>Z-LG8syCqe_O7ehuSUGMAiL$FO-7Iz*R7m~18CYQl%)EUB`sr%7V;klhSDd7{5n zN&XUP72v-T=@}TzzRkgi3-f~kdgJ>vNJQPmw$gcR~>6d{E{ zi#jq+D;!#hL4?iRC(8^aTybfU&=QOwOy?RoWi(+&?(I{U7sZ+p=0!{6X==v7Lvxgg zguKwCz;-SaixGv<7)&7qH7rx$g;`TOZCeNz=Q?c1czq@uEnY7nM~n96e%4?H?wxm$8Bf>9g(WdcBDO0`pc}qD9xu zjTrKV2}0MohIdvH#co!_f?n+yvFC;3iC!sU(3JSNiKaxvC>Frd#VJCt~SB%86K^ z9&@ZZE7TmTuCZ3Vrr?83+6yCvm-fQWfd&Wb7hVoi@0X`;QndOZbwrrGNTpt5H&RlB zDapX^?b^@o8$chj`vxf~Bx@g1<^k7dwV53>ME0rOl&cX*YB6N<{kI>fq$Ct`Hql=> zwAiwx#v}o?k}5Rr#h~haQ-X>!Yw9~3f52H!6A`DHPW76Mc#;5C(x*AIgt1y`+uJpo z+BO}rFEP}+4-HQBW%SbbDGH8^TmOK{D5}O;h5kpeK0(v305ruPDN@xK8 z2LSj+&lskv&%9gsuvc@M-+gASG|+O9Ep5!0+44x~VYWP3hL{b{Ras`*DtOt<(4KBA zd}V@;2tS!)vP`{o@lKVX(_Kke%L?NchO$DJx(Xkj%F0HU_^h(gO~%kg_^g~UF21fr zjES$y4P$O6<6NoeJtGpF@Q@2S2eR^5hSx4r8n z7ksaJu}wa<(_*tO0QT|Po0*Ew*U_7Hn}77C=O&yN95n+s-j*Jvjp}W;`Y6n(Wj_}< z(+AH5F4`r_Xd7_lF7&apbGUH%EMA}6dsx@q_TcuN=nH24(99~DKQyyt?ambL_02Pd z$F|p^**QGB-F@%$9ke;@N7uw|AB1i0hyDDtGS${hP`w8FA_m#0<`)2d{mjl?>{(ptfHU1;uo0UON+}>H>40U1&+$LR)0r8Ob z>cU)>%i<6T?#2$}Htsz&LKZe~|~yrE(;@b4eXBVP4WlwVT!#lM=4=#ni`S zR|e^0+f_k*^mfsb42GjiB-Y^~Ic_4qNSXU6?Ubb*oJWzUFXX8rs=;rhiS7+P{xL);l`_mDlz+aY9}*>(uU^LS{9=gOD|XS0px`dO36xpq!l zd!>Yy^VnJ>agNTBb0_Irc{R@7K_zLcb9PHI_-NFPYoCPH#E~l37n9FIf z*5=Hyy#IH>&_{2pFx2DO8`DZn&AznoQ?oDa*2t*(Nk%)Weqq+n`V*cWtCz8i>>mBA zjHgHa&McgT>En-PVFqcInVEs4eN{8bnbPh4oGEs@znd|`J)``~jLw9WIYTnuf6b6g zUCGnu3}@C#X69Y8G5kNXovvrC^H(^tVQ!r_OH|-AKf8c5&ChwKX$aOophf$}1DP+*+A?Hd?a#lX(dr9N3Mh5|3O zOV}<;fz@8>I%4f@zob}euBe?LISJZwF3n9P!bbaJn+7>H(;q=~BKGs#3EC6k%Cz>@ zuDHQ)Lgx9Roq$(aDHjbp>ICm;+qK z9@k?{w}z9(1yM}B_W?<;Q#_Vbrq#Z!>Kw(v@W()_z0yz)Grto-ZMS0V$N^nIynqF!IB#uXtc z1mqj2@MzM9`|#_n9!VrHn{MI?^tYI}g0MU%y1=i|i7v={eYr54=+kR!YC`w2w_kJ1 zJ_hxI##wWWuIIn!`0p9D6ythEZH&*J@IGsI*2I(^*&{!Y@r`GpTY160;V%D08&V95*kV`=aZ04rRb1>sb*5qBdKdf#MLrrtR-=ywQCxe9aHGZJ&h#A% zca(^V@0AAevXj$ny_}X!sS|oSWA8Dx_^(Pn9h!LYdC#A(~ zhp3SRAl3?GbB=)a-y8|H1N@r&9$sSmw}zKEsrLDfki>PhZ}DN*u6}pR3_J1klJm}E ziLbobio>Ywv_hh8JFPlTgpTX4GCZ8@YQ zUZqVai3x8X)FaQfj*2at3v{O{Ua#BPwaq-PTW< zaJQzaCeqz~uknJg9_YKWprrb`%#-CMrAMYqUzBB_FkMnlBX8tAk%k(1&-Jn5?c!;o z;rDXyZpPcURa+K4cUYq)76NQ=4lGBpn=XrKl3On<|PS=%$J> zUneG}P#pPsNV{gug92XPH5Gr}PWa5C#1lSq*Mz({bXl;*5Ay`$;z~xT=E>pYf;A8t z`DhJE=dQ!6oCjNW#mn&6hNNdkqUh64`lbff@ z5yFkck%XtzPbB8WvJx?QK?A3^scBVZ22oFAtI|;EEQ0fnMog#E*78ap;+^i6r!m8` zWoY#2AD%OtfN&Je;419ew`j~?6E2FVCyJY}4h_b}t3%Md@#>f)8#F~y zrvyz=DBM9lYKvOoUc^Dn$#v$UGiqH}XcP0I7NbIo9s;xB>+&UN__{Gr8m6va(uS!! z5_Z6kiQ-bwbbmq)XyT;D64KHQ&;dp~^=yJ%76w>=FlOvGK)vce^;<75Z~fNW@8oqa zPf5xzZ`7aa!~4)z@nXgLZN1c&Sk$gdUfzxCD^*J4`YP1jyoRM$weA{#IPUwU%7DkQ z)vAkm0&A~+T{Q5%MyCzzny>|f7VB)P^cdV&yOy*+H(|HL&P_PL$7`n{#^F9L32JjL zUdOVUi`Tu@<{TU^+c^hkdPglc97Zq(%VCa-Uh98DYoU?!`kHOTH>zeE`RpI9lB+yt z|BbKl*#mcON{z?EUPvR1E}rp%@}I3dyZjkj&#s`^C`zP4h0aD>RNb;R-d4n{jZZzc zIv6i}XMPnu%X&mbGf^HMYoOFRXvkHz}=rxX7-4>U6ekv6L@#6N+yqB zxXT@BdR4}h?qroQb>YXYE0<{v-#b?YGUm=!@r*?n-LM-`F*D+ZRW`%8&RwU<8HNbD zMx`@TMB0SSV)_k>doYXBFHv@RN@O;Ow#&_mij(fl{@!;fYLm`glvTES6O{S>>s!?g`z&kDZu+Su3J}hzwbMhK*F;fr8 zcj9tmF-e7i*PK-Acs1KnI$kYGWx?jKYDFqNg0hwJN$Zi)cgyYw^s&}jen+4!Dbb-G zCGARyWJ%9c5;FKF2&Z-PD-wIpsDE6<_wc4iIO!2qLXHooZu8kK@n|-#$)0X&EakfRa+OM2bu}!#C?A%&!LrJLC z@!XVjLZg}*RSa#%1r$R&=$oy+5l~C3Z{!KUS6;}{E~<9k7g=eevFldGnB$|*ytu|0 zA1{U47h$_&Pko~C$73IH=}j~q(_~AkCQZb?SW=UYE8hJIn_^tK@kP>Y6lp{ zymS1z|OLl!m+~!=C>#OHn7U`I7eMUNF zZdka$6)=0lVn?o2*4rWTFqC!?(IWqM@VUq3YT9)ykua9LsoTHcM&gxMe z?HiY{(9EviA`5sNhpXdV(39wRmk7n7od0J|Im!>#Y2F-c2h5JuiHC#+QX030U6$_1}81-j$YSpehLN-)?Cp2 zf;E@c^di-Ly^Wpx-1HuFxZ)S3ckirmguh36FWd2DH8Nd$4e?%OzPj=HKxsq2ueS!uA0e1&+?V)T>-&>vFktiC zpF@Mb#agS;9`ikF)W-viHU7mu8(?{-0H=CC_&cfIPx?Qr+-rT)|M4mQ^zVH9PgAkq zRH>Bf*Z(Tz`UA_UqW#35Th6~viT;ou{!2>qcb1Zm`-6YAl>Y9QEWyA3-xTV9l_~lA z9{@`J0oadN1OOb6enh}3MRE>M0F#^pqHj~;0T-~8c%b?^^9~3D+t&kX#YzT&(3~pj zzzP)R46u=k&j{p#T@em(lJiM|{Vl(CU{`P?5!iq-Nd!JK!bO2sC~{E{HQn+%ID(An z4u0=qnt;jhCAOd<&xs)@15aWI+NOwOhEy;zMI)I=yk0OA-f|_>y}C&px!_dU&2x)VnJF{FHKfYS@bT21M$~|L znHWKy)Tn{E@ro~@*vLxX2oIGWPmD_!ya<(IUb;kzhnH5796F_CWO)d~0$!uQLqI@W z^MGO>LQ3^0bDxGdO2fRAjT-mpo*`m1xo3!r!|qyqKyn-jy9QFyM|(JSClVUl-L8b3 zhS(8qBrZ0EYbY#nW6I6xM<@j4uqJ%z3kezX5l}+Ln(NmGP&Ibk05rr**9rCG$aO+% zOm>Zu2Re(}xNw!?IL;%ktCDhJ6T>4;B-MQ|0s~!+RE;l}BlX1?dPf?Vjoy*j#p)86 znP4tfI?#y=gT)Es!eAZkMaw8C18Hv}Id>fcTNA^1z(Le?4l)8xqi)pDo+3K&WR{3d zQfH{0;BrzsBUwztQ97Ez3mTq`PFWi+;$(NqvRRl?$7G8>S_6I~rxTT3OB6X{h)_i4 z7=+-FIYtW!s+bZnNeduMBzN?31rZAVRCVN<9uXjFB#Z@`R8Xf@tPs>`_-O_4tHyeb zhxid*qpnbF)2J&EAskd}RS*;rdo{2CO{X|2K-1Iys2`-6{`MD{!kc|n=&Kjr<1)X1 zhq$Du$SH2ABff{+Gtr(aM!pKC@eoDx)l9b=Y6(THi`_t}uwfVCy^`jG>lv2pl#)V~ zq#ply9n31F4GFVKhZpmb4W6yGTbaUu0BS&$zimO?*=<{tEl-55gsfb7Vh-9;m4@bW z+?FXn?hAE^NQD#IoVDrPW%K5;O;_>Uim2yO;!wW1X(N;idO`n?lLWXrq$Pd~328}O znGp6oO>)WvBSVyRR`vO0omD62{xV`8vBB{CDt%F93)byd}Rwbw74ve#s_YTs&Nl2@T3;j3v8(w7qi|HvtV); z7vVZ!NJDakRhD#`WR<04-sKE#Ee-R52LabSYVjiscxi)0v)Iy2`?CAU%@GSO<8*3{ zv=Q?Jm~^VGu_WEfLS|nMX4=f+({eMj_~AdzsCL3%|CxSdI8!d~49t`($h66T6I>Cd zEkj9n#(!cf&NL9TKQt9Oxl&98A$*-LkTG;Aqx~?yYO+7m>H5`7E>#(373j8~&-4uL zCo>(9K#NstLKtY(WL_yk6u#H^>M^4Iy;(#u)2DOFGW3a3&TceThxnNZ^-dLy1VIYav@mBoh6ZMu|k#u2CYX$nKM~9m)IT>`v=GHoF;QT3?rj zTRf8Tx;H!qn`R?<4k2A1m}6K3^4>YwkkJS-+<=D6uu@;@-vGHt%#)3`Fc@UL zVGV{6rB-j8E66pqz7eluAaa9Ir$&uw07VUXZhQk|^r`GC=00Nq-PjK}loQ{#T8`qTtcvggR#CX$jjuU->gce#c2I^}p8J(sUcHf-ldJua-M zV9VTq*UD(|Xrns-w5jM_uM z(CSXop0w@uw4?cZ9_>&R_&14t?xId&U(hY_=NH%|+NkIg&zmWFboXY889xI5Vp44R z5j_V_H&ARW(+w0CzDRVtwfGR-?$NxOpMLg`=4Ze}5-j&%iQl9QC+IWvL=j~5WlZRCRn{+YBjQTC2$v2YOOy=>>CZNL9Oq{ zx{%U~zwvKBmJ#m5w9Z|<**Io$?qwQZoB;m^0Qh5>vIY2ZK-4)t7~ryr_XkQHaP5IC zhg^FQ)Fz%`{)47AZ5QCGCEM}MPP5!|LEJewcIuSNJFYv~^2RCv=}@X3TsjmLlrs*! zYT*>DeppqjJpmw9XODi)aaUv<{y&GSV&ljWRQcF{1S%1S|4m1!GVBjKILb=NezEn) zR0j6Pt`Ap5Iau~`xRnE|W6ja1{OpeX9U?m&y(-2||HVNm7e~esf9+T+8@9p`IM#ty zRm`yeRfUu6f7Mocn1U0JuhMgp7#HJ@Pqkv*oMUg40%xB{rQkF%)Sg!dE{$OT%N{6! z>fx+0(4;C(mN_XkoMi#2T~SOm%z1xVjYr2{a0+S~iv$Kcp-8yn)G87evqK8k#Q?X$ zb*X4S4`x>8=WjjrzfZB^}JGVgUp>L8PyY5B-Rc=asG zC)bZ+H=~ZbaTGV}@)(7J*4bk$d}3qd4j-Qrxx<$>Os={Ou#qeElwl(CxvaOyd>-T| z(w+PIEXUjvQd*b#?7r5eelviVJU`$3^DK~TpdSKoA!LJqStD8Lp#<6*HAH%j=pg!{ z$Ra_oYBJkn3Cd?__;d|nE@mS~*pMq@5Q1P_G8rp)R#=95Rw%b3jg|Jo-=%Kc($9-M zhUIA7ULL+IhqQ-R%ig5n)$&PmS_iajS%e(0HR;y@c|!H)z&d zU2CWG2x%6@k6#ggv)KBf$hEgV34ZNuRNPP$2__L|w9Qgz$h=i;g~CXz=@k3^O_EG! z+a_OEc-z`BBtR-LPo5?14vU3=2%)CDU9?AXB?YHY3j2<$S4A_p z-<1wdmQYkwlS!$&hoUBMgRS$v2OX6RNJp?R!5u|oBrW8>R#WXSk-5>g8K_o-8p z3N$bdB0|RQzlaE?plYl;L+1k32P!;4;em8P5+|!qRX7DVbQ4a&AH@EX~!CYMIG>k*^le?;Asn8o-X`d;J^_{w@`X&wM5d z{JNg&cPh8%`WtTeYJ>98RZ>pt&YansLymqP{1Xsq_+`ms%uwAawaT%X`xhY{u_No~s& z`hI&@XzkA8Ob5-9#FvK!sf$f#8}zdAcfV$W+yzuH(swR)n# z*jkd)i%T$eQC0(v-S79Tzlx3h1giS>zg(Zx3meeK@5%;}!;`A}@uWZMetfcqC-`0y z@Ckk(t3*x)@WWYEikeTgJ0ViiqyJczjSLO)C$pTC^{{GjqNU=wpLh?UrYD|Zs_99} zLXr6AOwJUwd^_JDN1LHCdjb{OVwKKmA$`3kI~zninw5w`O2Q z^Q}Yv()2t8HZ*PFK(aFk){E#2f)kB^1U3XbXvCs|sHYCx7sIIoKk5nLY&rx}Z(ET2 z)H&bSsytW%;O8VjTx(OkoyaN4ir;4u*;*M zlFApnqnF*wXjy|5dy=hG(4Igm6|{F*PdN(%Z_E1SNf|+xedhyNxdK7M;evq`0h%0i z=28*|1+$dIp=3J6DGClR(^uNT>IJa0@<67e$S4X|j2vT6tx^z{p{t}g9Vj>@e;_~r z!8Q3WKIuU|oCrLUj}o%4(s^|`jdWgvN6dkcZd7Elu$2mmAq z+xJPfOId1>l~RWAWTn*Yu2fxnrM&Sa>!tAQmCXMk-w$GLuTzkqo3@L%E@3+(-a?dmzWg1Q2c}Nl4PhC|}!NG)UAo zLy=9s%}|;I{Rg*)iX@~$ZG}YMbndD3p!(n05~yBrwgl=C+s)^G(1h4|umm7xTg1a{ zHnbvof6T*+A2Fyb8*dYdA5qvCXumayQsrxQBJGLLhe&yvW)w%;(6hzSw)h$A2LreL z&%p@7w4N}0yR9dTBh)|2OG=(t*uFnt?P2l`S$mj8aKD$=kxDVLW4_&*mP%MgFlxZ+ zi;kUKqoQMHeZAEoEwG6|)}&PvHM_{iM$NALva1B!cf%^dKK!(@Wdx4k7he#W_|_NT z6u$LUk&I7ki*H&HxPW(-A1?gf-+*g)b-Cc`UioCWgJ`DnO*zeZB=QB@>*7 z>D5*$qB>mjju^4UD+_YOj;)%^T$@|Uh;E!I22>4@)d1n==9GmA75@yaUAg$vv3&;@DJ>!r?=9r-+_#38d32KBX zTgD9V#}m{FOY=lNiBdsMCvkd_Cq{fiYI8E45cy-M#~G%=s_&nC4Ez|v3PoekHZ&EA z@07La;ybkucS=#hX`ND(j&2`@hM%4(K)LAb!H2I1>Vs$aflL}II#Ul^Gk6QyI8*I) z5>Y)`xNlTBPKE@9Xocr-Z@Xh`*%#UB0V5?AGF4I9S3+DM_N3_a($+C9&CuF;hm8U}^wkYFzxGR|`zI=zHZaG?RRW>JI_Os=?DFU z?e9Q8VK;bq_LCa>z@s~HR{8>a-+8z*aQcYt-1$M$$C8V!!nx$aad0mArV1QOzGef* zQn*dR{=vpsr!^(mFzgt7DJ!LLA*}CdTyVcmNh+~+Sd~h+7FMM?YlKBZ!@^n9gFF%4 zi<=(8iAsZ33R8wfnzGV|e%!h__dWb>#-lL!IHVOzf_}p@?OF08>*5yk$VOC`;zekC zG|~mHLx=RxSuF6ejI7SiyHNj#rEfllhNC2bnClZBHJvEK#V=IOaC{GyGlp3}si!!4 zI|9E#p+lO9_#29hk@jV}&tS}%?lV6~rvA*H-9fcwrU2EealYsY(1wH*LhK_Ud0DN~ULYU@o)9_6XkX!;Yh}Xt zFZSZUGJ^k>V}$qr<}xmzue?lV1J4M9z_S*&%rYE4LkVzh>nH7bj@kj|M2Bovf%|PkwDzdsi zhZ>n~e9k%DD5Uj^Z=+(Miy*xi$Ph>`Rx$z7igQ@enXfi)8%pt!6%hALwpfFaXxiUcU$s?P{{H$?u76%zR1om4H@~IgKwzb*ED%_EH7}_C>nabb|Fi09k!k|^ zbyBrdA26 zew)|u96k1}ytdEdnBMDaK3Dtt-mLTac(nWVYM58(knkSlo}PvbV|z0dw0_=`mx;G zyKzG=^$FYy0000000000JOBUxIK2S?000006aX|Jcpy}HaAhDuAXH&+aA|I5Ix+wN z004p-004&?00000000000000000031002@e6~9*sh(DW|nLbi06~7bwg+D7qj6PB; z6~Cw|ggckVjh z?Zf%VM&*V4*`RdfrIrP}(_<;Je_kH{Xk7^MBePuoQx!wL(w@VE7J4GjJDSZMs~i=} zv!uMu%mP{H*S8Nx7ItEm3_}+7?;bNn7U$NS7=$eR2`w^47Jjb3X@o5N@BZMW&hRY3 z5w1as&zjFs`oF%bQFivZ`6~O1XZxsgZ}0F@{%m!auKdjU(L?!v|CGDBmt=94pddz39tt#excv@dAC$ota1qy0$xl=d&}YufL04$%4V?~8Mx zBYq8XaeOf3-b_<+$D|ZBPh>7=zGxn4ereumJPfOzWK1KkWnyi2)Z-_d@geM4m*~8rbBxY6I``;2 z+^*@-$vm#Mf3B1H6>^z(x<}}Kp?in!Bf6*P{-S%0?mOoR)>v2P&z)oMp0|cgo8Ce0 zX?_!a|K}9s@jL9Gy(t-4+MVA$X;gii42LcnZ0Ur?4aAFYL(pi?d{os6MSX+OxDK zDbrs2e@tte);#S6+9R}gXiw2zGweaxo3v+XFVh~Uy-#NXofXMj`s?l6-(Zn0#_Ft3 ze=vquc6xu--!y^MBeIcS+nYjKMlNzit~q@BG9P(zizO`nTOqRDwy`j+s|a~i`*^5` z(juo1n+y#$dcJ7s2GeHhb*@)WgI^j8kPiiWL#rka`9|+7I5Q;&xip8t_o@0=xz=l7 zsNX8&+4&l%{Lc#HYdwC@(Vd9A%V`#v^H}6o&lzwaVUb=Q;0cd6MCoHy>jKHg=OcUO zJHWz_2xNbsaS-RF=kp`1p=_gG=ho+zaLF=~$2nYJ4F`wA&LsNEL-6S&-naJ$R%bf^a{O2DzPsJ5xz7xG zlHIZPt}Yu5T5DJ2&2LPAGrlg!-|TmW<_mg`v3?5%eD8)F;K0Ds+Y`BMdmvo7?}fa3 zRu~Mt;)^`&UKBjPI0yM*))HuGo`;;?9tUG$qmVDRErX*U#v+@=Cc!<+RAlplBnZ}J zAour5gz^O&kfZbBp(msOS(_09-VMdb?KaVn@rC|fw`+emREJd{-*cY}V-vO@uehy& z>}yrXB~QGdpkW(wYNacDb$L5-)1!${GiL|##(CCI*Q1x;=`@G;pX>E|+Xup(fNJDN zpTE*o{j9eikoG|L;;H`JgyA=JM%iV^A3yHU)oDwR>q5@yGN%vn$Z^+C=sxTB4)VJ7r*#<_@yM5Mwd;mViAH|U=(4V2c_{Kf5Bx)CvoHWTWc$y$ z4hM#Oq_9`l*3TQcbEq*488%HH^RFM^o1tN}k0z|~q3%WK8sy(KztX*7S%@5vHWXgg zY(~DbYz&-vQidE;>7uygsvm6nwgh?Sj!=+x>L!N(cTGS}-Qo|2D#MX`wcaqGA_)12 zhXefO?rdbAt-tEN+Ln#%5%f&gS-lDQYC=CaxJ!%NJjO(wHEiZcIR3g+*{M%2N3H@p z#o{dK|I}trrC+lx5ab<&Z_>WQ`CyReS~-M3?;gEB9V5a(#!B4rjkPICf0El0W%K2( zC>4`Fw|Od7X(9v zYuwCQ-TmORCkdPlX<|tk3^vY4=5gkYEH+pJy?;#Qxt5=@jbCUW#$qL}wLZoswrF5; zRXT67JIdyUX&}NhlV7nv!t%2i>|DK;KXp39p3L-vil!`X<^Bl^t(gVg=X1E%^!==H z_isKN&E?TPd)eXO88F`=pQp1r_Uzzvm>O2VE9QL6^4dJWZC)WiI=7Zhu6KiqF~7a{ zLU*%$Db5hoRKy=Fs9{mB9l^v-%gq+;WF{r{5V%0g-D0=1>jTHb>~Jj)Osr-@Ds7;j zm6oTZZe?{Y*0B6Q5id@wWaOyPQB)^)V+Y;%_(L1 ziDuAil+XL}K42e?HU*D_Ty9%j%pTegh85X4oRt-^0Uwz_c}y0Mt1MvcOaDu8nMXBs zZE={TBtRI15&=OYXoM&NLJ)-9n+-yX6)?<%04jn3rAhz=1*||42dqU5T9Nl08ik5u zO&Ln=aaT|rSyfTAI25#{)>K7#JiCym@Akj5_B!kQ@vYzf_Ssxq?yv#IMO*1hb!<*f zs325aPMZQaDD+zgYw1$DDU5>-=hi?=R59Jv$-(dtCA^3)pjC&t*mHjs%*)896HOMF zb6^FmRp!##1}n_io&hbYZ2Fwu8sS_T43w>)-6z@Ml^Lnvs!gYVKWUFAen|pFZ7ThC zzatj@l>i4D66uHzCsby}!+>5!Tba9JUO*hUo{6UW*3H170vRYeqG){Xj%QtDP}3Db zpUM@Yo39M6-vm1Mu^0B8l>zU*gnmkSOnmX!^?+f9nClZ#kZ zmb8_KMvttGEGu)`)GNVr2RE^-%;=a;LNWMQIT*(NrrO^JV_4CbAm+WH?Dj51*DaM` z5d2ByKMBByhAI$?hp0&=Kg{1*4Th*+sL`(ZXt7ic;*8srchOu7d!Pn`>KZkVr zHQ=bdNDc0og|Cw}plkS%D(20^nh3Uj=6j0&jT`dct3lUwg1Rbk!6RGL;CTNi70Q{4 z7QNM=Gc`~(A06hd^3r9>CDC08O6{v7D>I&=iv1-1<)i|NL^-kF_Zrn&=fU? zlFB)F-;f7Qs;Sh45e{PbYG`WUP~1^2>Yryptkxv?EZq|4v@VC<{u`17LLMp%>Ch`W zCE-Td;J;qUp||WSNvF{cGYeDLT%}1kn;mfSr%7;-c9rNh@bRa@1Q2((hI;6oanlFZ zY4ab2oc`MtkB!LSVCIz0Z}S8QG3-vH?CInVdtjTR43xFAjAygw;NvLvY|1jK?uzjB zA2KlL_ZVZm=VRTQI55PYGq$Ar;e(az`)0R{m1zN}SdawbGp~)>X$x_2S_+$I3nsNL z7}xa4*1TMn+`V5V_|!nU3)Q1GG{=XW%IC0GrLp%liX zlj2Zq9@`5_=ISLWcG`Zy_E8D*pB++sUQ+-*Vl|U6L}S=^5u6t6V$yF>cyn0^#PW0u zUm?Nw<(r{*>>x8q6M{c)tbo{|ZyCqrV6^rBXAf;*wD$thaJv#>JI*tg?E>)D5WB1D zJDFH(KODGJ4Y9Rd%$9y{EG=c7R(72!s1TvWJ2k9R-eIm<&B5ky4ePoAX7?@+gcJ?y z$)}9TPJmkj*}CWjV{_CMpFd*n^WQRq%bf92oEmJ+#+eZzABB6Xp=ZpD`1m>C1AP^2 zGntd_O?J3yXC-_#WJz9H+u+09Ujp~O4Y~Wq3O96>L(ffnvO2&LXBaoZ{w_WVz01W& z^+rhPa3K|sIB4Tl1WsqBlRL{e=xJCF{d#wj=)=JSf%&k%K}ae#aj?E47gB0PMC`{! zX-p1yYUh(4c2-tTtYq`NfV{h7i9`1?*-Qo!yEY!uzbQbeTtrMGHfRWtgJ%XsW|vRF z^*54XI6jQbiv9$*wkNWAjwDM{rs9PU@lZl9A%Z#=Y-x^zAaM*?|Jn@`+GX%xQ5@0a z%*1ei8MFzKNW!yOSm-Q+626?YEce8SwK53eWsud^=3=EN4!CA3$q^?Xl*{5-R@vnD zkOf#gkifFaCFx#b{Lr1mvdSm#`W9lBc`D1QfE-!42&MIDEURLY9ubOH^D#*^3y3P{%_YBxN%cW1}{i)g0~J%UeOX| zjuh*)D%Km@$#;inJpQT}ipfs0M@3;=NGT+C>>_)ggrX>|48(@rr18}v%wD{ObxtjL z-yDSIFIaDUS4Tc~48+N^tDsM>BjKU`c+s;OjEDA-<6gd)H}TIO@*86P$2`1!L=ENj z4aBJN!a4^Hq}Mi*&9*|Eh|z$g=HEo|wL1nTXuwT-nAGuSpwL4DlPixB$?0jhty2xp z%Jjs4wG%#`sfO;2$H~1V?A%GL2ED48{FZHxk@6}~=bs=;FWRDYKqX`=Pm=Mq)+o8Y z1){S~lb$tJcMLAUrvB0tK(x(iAurj5j|go_qw*^s!1kbUPZ@U-Jf*6m2b6Rhyz zcm_E7UL>Q-tZ}icf^}>+nRnC{NkuC3&Av>8VfN@fKN*aID`djM5tsP0f6i&w$Q_9j zeyxj#a{di6S~U%w(&8X}N-vr8d^%QdlYxZyGx5oC$Cm;bxN&Y1`QU8)b+!y9oAr@6 zxfe$6lfkp`yX1p$9;yoCpnI&J9Qfdao~`kqA9+A-Ir`(F^h8jb28j8H7!NN`hV0=- zByUF$?rBSf=%FXXzi$yXDiq-TXz>3O*N0b>XBNkC7#)V8gA`E&DS}if(gtR(Mhp=R zGYnl|071GmDT0(~fT97>m;lGh3TxD0B&(~2xz~kQHW7) TrJQg&B-6&BKb)WnT z@A3Zo;6_Ik*)oaaxjeay+{O7ix*EpnmlXi}hb@hiP za*Zc(y;ZEMcbdm9T}k(v3T)SFVd5TVy62*XmJhUXw}~UoN2`IehJy#&?CACeHIxb$ zVBb<3lJnItDOiXvzFAE32UH*p;-YrF1K6+u^>W zA-dFaX@!3=MA#dl+KWq_mkQWcF~+6{E{zFt!Og}52k&sn=Gs>1v^K@yt2&gKvjz05 z%rM4QmvX#P+0I#n?;r9h&M6tDP0g`yMxT0O6G3KdfvxdIGd1Y`NALSH6Vqnn|FAvjlA?mr>YcD3#gMMhh{N zzFms{9A*D(kQgTCZ7@!{O@UZIx`@FfmgOTGwDU64pQ*_)ehaC`H=4; zX6fv5gs)eVfmR$?&e&sDKp<5VCNTFLa3nXF);TA^+*3z97#2c4%Vd!C*a;s{D4Bee z4)>>4ApgFQo_A)lP3VlqDiHTw#0hS@l+MG4ESQamMfV)Q32&>iAg&1Lp%VXUK{ob5-E`br61j z$ei5!l+g24Fs?enHrsa!=D7fC#*Ap}f*cx8hu~DE0VTeygSnHTctNN~Z+vSYt4D|y z#k!=mx{BRL5e{>B)X%Aah~t3azjG=0bSYduvIb=-T(S@pf!m?An9DZXrv7}kuRcJ> zEOuuh2lPH)hvy7*sD3yTsy_|G!!|rRT%8WnZR_z-n=ZZCBm-INhp3aSNBgBoaBFWk zS|2o^6Q3o3sQDwDT4YQL$2jP1+JLV|OevQW1GWtjI5BEY86i?=QEtSwri;n>o&-4Z zP53@Z;FCx}ebtIlha-d{$*3}L%COuq1gK=UQD3@T#hpsfXPy)W& zqwrO`2Q9IQVmDoi$%CsXsa*<|h0%Eak}q+~VxTcU25%SpljX@cn9GU9lJP(idL**D zABXvGf@yv(3GQda`6NJlw0kWMw#LvQ#NTjr&RN*gvlun=Di<3%myv;ont7Fn zEvuGL<&cVbm5*~1mb6cxVqO(s04^eVxsrLc4V`~5A#a6(c~yw_9E?biE@xg9VagSK zy69KOyedXI$EP1tcQUU^5a02rG-?O)suTym)S+eO<;<(?cx{kNMt>_|UX`IBj7uC* zA@iynwSBmxOL@$z3e0HcQcPGj^Qsb!#X7|OcLwun2in%~==at%=2aEi8}g~UD1~`d zjh=t#(Qw0N=G9Joz1EN_$Ksh+HTdG5F?GvhnOC(a={2LU9ns9II?TFaLGQnhVqVo@ zhn6+DC?(9RdhFU_L+wY!;94U`9I>NQE@GINm*Yr+BiY-Cp`%KH|G48!uC-!_eyzZG zfg8nakbr)r5(kx@bfh+l-BTqVJ?c%h7SXV?Ood(ze)O|mEcpGZLix%7YL1JC=Ot=9 zULQoKeo2JxSvB@61SG$i3@Jqo80r#Ap_8d#G1Gw0bA=STA_ImB8j-hNMBclzpy7EV z#-10^M(sQh<~5<`B@tE1x52BYO{kEFNb#l^&Svkz(HbE|rj|kW<6W4%Hk1syE5UB- zZv3K4KxtSF52kkGcux>@9Ib`cEzMXR8$d5?m$isNrAXo$zQ-`!TUe`H36{z~wR-iMobCd?}Z z+Yith6|QWOk=f7cb_%*Q-fVa~c;rRJbN{1+~tPLs#qo&WrP**s3_# zpH=6@@Fx4YXE3sNAbT1wCFP1U2ujrAqn+-gussX=1KPZ=*Oh{9oJHcLVZ6uRg^XyUcZuJvM(UEP|7{S{Yh$^48<0q&7x$~ zo0*J|N?UgS5lHzHFXC{9EsqZ0OtOrNSR=7z@y8&Nj8DP$sZv&R4yD%A6m;FU=HSCS zDb^qrrn<9P^K=;5UrdFciWSTJ_mcA1G&o+E&VOu=AVpFdG~b)^Une6eNG~1t{xM~7 zOcWWNPe*CX6i&92Q~Rh4q{@xB^S+$o<1;XH_yjJVCb$}L34I9$%pQWPGnWvmE8*Y; z(NwLIiL%Vmto`qQn<5i;9Y=6Zgq$q2vk;)8!^4`R=-r7doF6oVyZ(%%bgj#HHeZw1 zbwrSF>}8a)2Ag{CBb`CnxO7B~wNv&`|GS2o`W(A6?TiKDj=uZnal1!Y3g`Oh5SF?^JF?l%GBVu2-wbc4kKI(eJ zeBtXVijB&L$W4{0#*gfk3!pYijXkTDlX64>46QYI?sqRz{3w83-XPu??ny!6S6~@9 znAbYFlhOApIOji<=k0c-_T7b`Ux)Lz{w@^%wGjHhLpvoyv@s%(zh1l z+|TAbbb>qi{!xs7)Ti^@|9O(m<`OKAnaNLTyr{9K1Z#6=@h|(AQ_O}^^o3b-Z?qpt z-M(eiBo zA8rmK>CZLTJ6n|<8+KA}T@98z7PHf-P|CNzg^(8_F0u+H*{xehau)HVn$09xSc{%1 zA}+AoK&{oa=n56_o_ZO@&a1$B9l2{y<)2R9+AJO`5FL>Ot+Z8_;JxgncXBC|=P3H9H-?Ds~mN?QMu6 zM(~+j;SQGE2HHlmL7CucdL#C_O1R5ha8=X@n`Q&<7$&%~xPw~92^=GHqMj>v@U_H< z&l@^Z+O*&CUNn_gI=GNe{_ij{p2qTKSJE+Q!rc)TJX7ILjX6ztcxyU4e(|K3$<5F+ zwql3<-eh~Z8A-Ed^8s%kp-=82?!7gyl&zq=OLuXaq`Ww7HOVHl;G(fDYxNHxNqP(B zXA7=&t*2JQdx&FO?*6ioj;Gv%qs*2|L$;EG{(TgtN_jzB2q`7sN6ro#&Y84>6k{GB zy<51~i*{2`;sZo|wc?K!;bf%Siep7HSgCCvwI{T~OW%@R^dg1Md5ANM%=oi@6uF*# z2vsW+{`E_g&^(VI{bI~YNx~gG{Rm-k6S+xKa5eNXVkG0()lqPD@-a3ikL6U?XzCgK z1hNTwoNW+IX~&=71V*ythMasfpQ0vo7>66mN$1#8toPDpb+0ID)M$fEuol<(MpDd? zHkjWT$ZM>G&7$@UbGEDV>WAU<_rYhNkO6G^eYem~&ry3@h5KIZAld%s7;;F=K55%X zB6@*WIU>P-5Vgu*AktdI#dVwLc)xbU&JgjDMSQkqMEDSGPM+;XYCF2HWb!cnl<;3)bs=l(NZ#lt+`(Q$E;MkWv_HFX&~75XIpIV;TVA8$vN3<&;4JjjYmEOel@oJaguZ%%fc|DY z-qlU$t2cPsZo%Ge9%LK%7K*SLoRzgy*q(1;TxZ4e9EHyOKgH!97IVJG@kz}zGu@_| zsp(!*E=3zkDb4Q_7RjY_k?fEzN`!<;ZA?wbv-Z^5_Bc8=?6%gCjX3tn%J02$X-~vr z_gLHGk~q7MBtkAl=d=ILKl41_&+GU3yk6NoqDhQE@PsAoS3RO3ITBU#ma$(w78`f! zQTs&(`_*IdQHp@4Ls?Xv-YY6w1e_}1x9aK@Ynueze7Tw=%btkmBDf<~uVcS@BF;$B zBj@S{_NzWIUlj>+RT2ADpXj$d0{e9(>{n04LrW&(+rd(9*r(z*W}yg-Hd6eeXQIX8 zV3e*ZcC_3D3pQFGnGL6mxa$x%j2d z7yA;JtGE|p-Pc~Iim4#O)feK*KzAfsRj^;Z6dgLWxbt@t`_)S^p-O}9C*|x{1L8`f z18!@|NoXGs?={$A*p?e1`jwdJZiA#2?w7V#;{Hx0PT7^RU%eJJ{c=p#6|-Nx7T;u9 zV)%Ul`_&uKCrXC%P3x(;^^N$jj9Xw9e}hxsiVi_iG+oLi$&YWv`_WR|pPR#eH7Gv6 zBE{vK%h|66#W|3nbW=L})sUF#B*!5kmHlc+^uDS!{3RjZssi`h2opviAgcG zn0;#@DZ}22cL!C-txIITdM}puIwI+GJp0wK=%;puU)W!MH7wrCaK$d?I2zDOFzIIx zbS~reTqHrnTpu)PVySVr1V6R=VM16ezrO^H!WeX(kEJXpGd!#vi#;uI)S6@lT>k*) zUE|5?3o|(VJ^>Sd=SKL&45i>iHI^mg&(cZlt_VEs%6z3L2gl@q8^Xtuq|HuS&?@n&)sj4Bcn= zHqGH35IqT}>WtLIeU|s>M2!EwjJ;Zly`Kjm`~crjg$!%5#$k!U#0A~4hqr~6FQy44bk?l_|~*TkGy z;;SQSEdIWnN-X5ierFH!pUapTIjnkY(b;08V3QmV@~qKqwuSv%j-gpf+@1O{^_VG8 zTqKA5!%fVR0>KJP9Cj?AdZPlL4NGyQC7-!cU~{SzHgngKVOW7=cDBqbtEgE}qAP>D zV8IGfZ&qTaTn4MIOv*c>go~3UwtSLC9o(I1JLKp$EM@krP;XEoGmV|6#0tZe*3i~3 zVh*h!$n20Aw2<^~tuWMXkH1+bvh!P`?Xnv6)8lEN$Qm;wT4Z*{F`w2*OL2qyE#~T# zH8x%H#NH_8D$E8uXY1grX08fskb7|?QW9gS^`s5@Li{mD5=UO#B0iPluuijp8MZ~? zi6ES;il>|FZSnT-M3{0CnP>LLj7hlj&xKUgZ;K<>!?4*ih1oq+58E2w#m3dVB+gmt;3Zd74k ziGa%9wUpPZg6=0hb}U}ctznO&4Uvd%C?Nk_dlUxnjlRJy-C&Q=G2v*x_%U@ovd6FX zVaP4s!VThpt{ow;>NnD!90%MwJpoDYc()vKK>YC!;Md9b^iKzzD;kTCN)t7Xc0{t< z7z~&(SJ{p@d(aR2W-?a?9brAj2Z7uOtq&cMbHoEH0xP&#)EJC%#s9AIZe({p((eR? zVB&sJ<3)!f1~zercB^sxjtaZBm2t~x@H)sA>$8p2lcvGLOIA2Mbu&dD(4g$J0;;to zRDVx{8krnt(~3yq?S$$RGE5!0kqoI$*w7}$g$wJbxz34QTgt7phSYbQuwc9tp4V1V zo`*9`+ohPWFq=9I&QQk4ko`D=JIEQ98~Gd9&yCjU3`YwE;Y4NVk0T0R&C|>IV*)a{8ZY-e2WEZUH)8gv(I8yF* zL3f-xyuW6y{_BDhZC;T2Gglf{T%75P*>17qmE?*?KaPT~m|d>M6%zjd_ zq6lcu7)ZkZ|D9JPE?r9{LzO#nGW8gC%%J8g?l?GAz>2~wQrmh!_iq9Ez8uP%=YhZ< z1Z1sQ&0Xe!qX`0<{>UT$%lvz}9wX*&;70RAOLQc*))Z1#j3)*gB5=F0n0w6=X2&NZ z>9bPW^B+%4OAkeb)<^?#FBDz~hGJS7#YcN#^TYA@HL9E%w|gO|BM@WkO{C-^Fh^f2egHAlb-cKp+<%M;bjzUro-K08+6$ksXj`F+CVGZFDWI7 zQHPXJ1*&!zlL0zx-D`;xC56=dUWc(+GAKhfkXrD??cGwmyqrgQrM@^AB1KFBzg3Gb z-sz?A|0Rc;(HCbFt$d9v2WA4AN}yU(~@sYD(QdlL+rNyQ(UHDO<#Ew z#?c4_6ctbwg`%>=K*AD2wts%C5)jNHvIYdfNClCoh~h@T)N#g&Rz(fkx}c)PxJ<36 z#WRZSSgm5QJXn`UP_%Wcv{PvNFx9#JcE8^Hy!V{*I>YgZk-6k_JlpJo{BLq-NEQh1 zAIIReG>Z%?12M8;99F)`Ap5yM9IST7uD1r_{}zbh#)6j|iQcqMchRz4W((;#w24k0x5CvOx)Nm#k$QD8O zNY9)!7!jorFm0Vfnurh-auRU+=2CNI2%2t6;q^9)98QHm>L$m#W4UB}6M_?eC~&_q zpV~wMT(zoj=zJl0R0we71P9CL5;C6X}JKwZV$b_TwCsD!v?CD{Z*I0Y%tXI)K0r9uo(kYQuyIx@5f zQP&!c%ayfcXRg^g9EqkaY_kQ2Vn06|`)cdR#QJkpe<&mg%nJ^O!fU4h^>V{sk5-WRp%}ga%t>QewoeYny-FUAb}b=+F&y#CLwz&L$=Vc-tPCEy zdP=G99`m^$dHAzr0dYPN*zs&MKH<+NTTTRSr8;3=cL5FUj=&o?K61XzBg5SYq==b^ zI_HpmQY60LKNd}!*k;R$L}}i5+|J1$Q)47rGCa^(XP}PmNEBHnVUvrV{5_+vtJ??G zKNwdTQMj1shfW>iYI_vA*&lo2&bYc0g?0+W(F83uOpqY)bO=n3wDeLhL78(Xy8Csc z*(Sjbr5N5i12x~4;G{AVcYQO+VO%sy-%5}Ymq|u#G%{DkAfqjt+O|Z)_mCW)R_Bq& zt!R9>Oo@Tz1!Nv0MfVdG?lrT`kS0a_PaKMeOGvO;isKDx{9IN_)*Dj1V4H2z^D^q= z$6(cmYP>ToAucrrf)^ZudzO-IQw)k8s^Ap1f`+cez^6=1{Rv!*-}}dpBZN>yA=TR& zk|aq~Lzdn|2}#zui#se6XT=A4*1J?h}V(e#<`TlEfF+*zBla`ainN<~)e_-L8Q zzof73Y;i37sy5@Yh2P@uxi@3Y7dU0U4iVq1e>!MCCL^M^cC_ciO5@`VXFII}wv}01+$$P)>_yc1t{1*Xy|%s5 z?||;%Qgoh8RCeF@PUbM5f`+DTtTQBljkJZk46nAcL%1q<^SrU!Rt8ZpZ z%qTj&dtmRZA0-}*V`fe$4Vye;FgkE)$)rT)hJ)9WYu>buY}t!nqs!lubL#ftP2C=gr6<;(naEJp z3;H%@M*Py@NrB%#Ne&I~)!O_{`Q!J~ zsT~1-Lp1)m(@>qx{an~*IDBpJ_koG_p zGUHAax?CdbW?$J@XsP(-&X%>CI^HhM-z)HK-hKVN&;GH;ZZ588tsKiZX!_6Ian)^- zO-qlg9}rirYK~Z;*id5fT<%QvJ>t~9^Y~zUPk%ICw|$a zH@xuD?X7DYPx0d}6rL_^x>oj7BS=!XU|{d;taB}e0}H0JG(A5KKcJc_I`T5zYi3xm zR=v&r-0wpN+|BLH_yIGP@3z}Uj%oe&zn^b?mb5Yctbs|2zcdzw~E!G+b+*9s2 zT(bz$>{r`3dTC-$)3%o(yw4@Ywq>PD#ya(vhUK-LdQq(_<2he-`fsP$-hI==A&t+D z_Z=HAoe`39&!9fz&nDHZ1boO7K;wUVB7@4?d;C0c`cMW0xYz_X9GH&L? zPZ}+Z)M-gOa?ff$%4ylNxcbv9pLIGX{8isBEAI$6GTZjb#No>2E4RO|X%^z`V#~UM zoB0RdJP9;O*yt1!(Hi1wxS;l*jMq^Eg}$eKpMC8~ythTYvD4?mh|BHq&+5#KHfC$* zrB%8VvYp?ZO+IEJWj8Dfz4G_-`;|}sd3a{_mDwvk{~dPj;nS-o{Ri5d9N(%mK8b7| z^=ZjUA*XDK4(Rq5FpSw|SbIa_G>#;f6X4ew#xQq3}YjTIr z@NZVVQW<@%)iP@IoQW3i*L~Z5GJABJ?ud8)9`IYGSLOXhzVMNetgP0#aP1MlE0)bE zn|)6`P`6se#x&th)`=-^*?KQ6S7?PC>-%tmJ$hGZQhxDGwUsSa+{6#{e_fXrJCQwk zCc6X=|Hf!OJf!!c>C_U#LAws$pNqT%TbB$f$#0A_oqCg7nAb7a`MkH*AuY}BuW1!y zV?)K^&LwL_7w+ad`VrT-fgk!z);YxT_+9I z)!$^+3brV=_}&QZkz4mw&Fvsl*K>D`?i3Hro)^9m6Qw7;XEqieFf#T0_u-FYV&*+r zR{5X$;u~WaNluY*Etbo^s)Ssb+4s~WbbG4&IR7S9u}SEb>eJt++(?XRp0w0?_J~hq zGiQ%;e=tX(K{q8l`Ngon_ko>{bb~!#PpussEUY?d80jOiJ2OG>q&7V6p4EAkuihtS z?9VBEsrh*H%-NxE}lEq^$Es+YU= z^jPTH{JC;2Kioc4-fcL&b3+Z2cj^9~QRDmsI-c&c5=&?LmcP1`TCDjYef5+vIoank z+O^hKDvX-%vdE?|+obAZ;fcDhvM)3*<-L`Z?k08P`1*GPbG%m^?2otURh#JVJ!N+2 z_g69M2B!tZAL3XE2W||XFJ^u`m9@&G`+oWPYngF>n?gqS9lksEnbp2&&*Hp0LdMF; zm~<4{`^svBCl40=^L@jO#4k>ZgS$*+vL6&gJAIG2H7ly7Vq(oz*YcJALuPZj!)&fg zKdAT>&9K?|fpe;!vdO=)e+uRZ6VuCdOV$7`>>;{Nxk z$}!`uACzagwu~8AudnEqpRz92{j;}f=dLV&qdQqwE25@G=Pz6DOU|CweC0a0Zt6yt znsXbPd9$8!OKdxK3?1};Q-OxKbLWC3-(sd%h>~thlX~7%5ZsEjY?OxYuQ^&^%<$FJ z_`yA}vFhNcW|ij4`UigH?~c-TSeG^SSIX&d>s^X&@15Cm|DKpwY!fv$^7{D;!bO+$ zAJ`|Y|IMHG!J{!MZhdRxg%2KwPhUS@^V{RHz`ez5_KL^5F9|w?Q6qc&%ErG}Hc?iW zjb_ITm^4>UJ^g0x-2oH-A5*UppJ$h~*RK{i+`4-7ivH|B_2cLIN110$TD^LX`!j>v zr|10A5Bk&UR`@~Xg#X5+1;&<>+|K;58YtA&bJ{9Ze|4EMeBHnzqnaA3qSPV<$nb*Dz#48P+x^Qu7g)Cz~tKWzDP z^B07kHhL}?f9BcU_V-PH?lzvumTwAKw%@C-I%mwQ$w_(b-2rJwisyZ3Sz7V{uS}-N ze^2dx)RrQ7`TFW<)mN){KaK0|PG*O0$@i<4ex3T^W~GhlzHL7QH~hC$87bV3d8A`w z?9~$0WPEG2vHUg7i|;(9H@w!9*KnAaCsDcOvP9l`$@rjxABjPR13jnzba`afoa}t> zy!d5NBHK>9U0==Cev`G`60yV94}aV-cEe%CCUJ?&4S%hje^bXyTW$3#sO+KP^6ftz zgZY&yA4u1XsOR_QM4JZQIexM;Dr$6{?*7N!F7A@h&cE5G^)?FTnq+Awq|aS@Nha=M z@b2{=5&T`L$K&=Z-nSKUwR&bnO+^#1hqD#qRgc2R2W>*>(FG zODX@7PyMUpkKSpwc*kzNh*`*w=ANsoI1;Az>gAEOZ=NbHky~dP^zUHd`w!V?A0`fL z`89$4Pm`8ni+QM`=chxn-~ZKW(p6j?7c#}O$ho$7vHT|P^r|Yuo!<@ap6%VYBuuL7 z9_Xv}V9CI#9<>?&l$)(mN?&!!^TXr17p>7-UnHGc?Cs~G*c@V!7x^b(MY3Ai(p6d9 z`QI1b9bM8@F~7oX#*`tKHFI z=oDVBvCzG>Um@V4+1k&;vIP>O+ z4qa;W%Nlp2bH`l9&OciE_C1@-FYv={!dTC1{e99JX6F{{*e~%N3NT^o?-D`v~=D`wjiwb<<7CCBwuJF$DhPr&~fkVh7WkZ?!`z` z|A#MGyKRSeUVhIq<;}j|daX#!r-zRZL8ohpc^@HwO z4c9|Xe<<-mXLvGvOl_QK+W+>xpDZ=R$Xp}Yiyiecv$s(8I&9e$+ z^gefM#(qpY#&~GRSvHt}f3LfUn{>5i$jZ{`Me^*y4&@f6b&TUAql~6T-W`GUdRE9H zqW@#pz2qP9Eu(LFSMF8|$aHp$NG@q8%~O>r%1qP>WlDQ1mK``=6r0ZCq-O~4Y+$Q< z9E*r3IaJ#1r>{SB)TW1AWUS~;TijfMuv zp8CejOOE?%;9|C)WyR&p?b{9@3Ioq>9>WOp_@KUu#nTTdz9IH5-H zuqvf9#wB<^*(GFIwl=)ETkyWBvOGZRs*zg%Zrf=?*>8CllKbmwROH^vSg9$USDdYp zI_gi*B8J@isW;*a#2p)Nr;Gkv|FrKobKucuf!N)wK21m4a7TlW!%B^l4w1^zXI%_! zyD1)};VKT#`G;H^p7Ljw^Dibn7u{27zmnndWo)Qb_ezZ@C67Ikip+SvxA~hT{I@!* zv#g7UTHW{dtU2yA@Ul7R$De}6Ya2~ZziPf2;_lS5C1H-(rq{ys&2ryorD_fdgU^j- zsu=BZk>~A_RH(IIt8w`dB@;ax;un|DQtx*;}OSa4|zi z#4_n3=j+MXLZTQ(K5CFq3#mF=%rF+Q?7GOCdUB$W)L~#g3Ee7Hj}$Xjh*(>?NO(Qb zDI^ENh$%A6rRvdQ#wrnOXBT-|Pb>>bEC!IVMXDYlW-Jr2)_0Lh^<+{ZnG;5WG>AOr zz?uw2tleGYWj)zfNb)c+pU7hltZBK3^=}usUQcEfl67H378#fWYg#E{ZR;ZU>&e#j&*4=utq>$L}kp_|VBK1DS z2G&66EwYcX9bgTB3_w2sZ-+F9WeT7QkWK*dfn1jMjgUssS(dHh67;mkxj_fVw5XzW}oU zWGR4xV*y|c;0GXtLjAs2el@C=E`fO_%!N>8qe$rrfNB6YsIpO{bUr{N0P5Pk7X8ua ztDv8R`m$+#GXPuwCSWBP9EispNvM@$f=R~#Ffky3K$~WuR_SD9m;nqeAbEY5NBCGQ zUu`B*&k5>c9Fs>zFf6oTA$)>?tr!T#fHDT;=m1J(&;=O+cmQx=!9aT7VgOwVpb$Pn zD&5cJn5+zwSwLk(7c>{(CctXU?nS+@s=kp(twU-kYGiB#mMJYzudFdFW z&H<2z%80H+0f0Vw@>GFcmktAv0l@5Y8dz_jGk_6Rzpo!{97b%1ONki)~4d(joI zz*NVP=MB|wteA<_R@qYk)o-jL=Qg%L6G~O2dZTO}_TFnX0MhoQV{trG=tUJ;6i@{! z^wI@Tf;r$HeW-rp;?0SG7ah0;r9LeKmx33)fO`QdQGz2aR23kV0w^45q4WYS2e76J zY^4{yfNxVk71%~ETqFYx0C%Bqdx%E>v(=#b3c7+Dm=&8cFdF4dKshZ7NnQoD!hU<< zDj#@EGpQA>9Ivqe`2fmLB*lv5ZBY_)5_V++Qk9{y$9^-11B?O?KoyOPf~f}JLje@g zxG0zt0So|~sefd8~+RkTA4}a_@mX z80o!3f(J`!mz6Gd{7%ZdSeC?@YM#;&2Gy|KXm$d!Lnw2!rR)f08PxG0RbRS8h=q5y ztgV&xiDA~QBV3I}ytT}jKkl*G)Xo!%H!_tkJ|1(y%hKnQ+0w+rb8ad;)LiWIMoWEG z&LVXi`{K=+VWA_04$&Q^hu&|U(z(20dGWc79j=R>)Nw8MKhO9mO0#Kj(xKz(5KZ=RskLzt%%33P1ro+XJPpwVaT%5ohic-{-hs9TmScg5 zStwtu1JPl`0>otq6d{-c_m2=UP`WWT?-#zRVU5NWnBgkiX@Wb-qvM~!P`OVy;wj=1 z#>ZeRAN&p4OVP&ijlX00*m%QFh_CPjlTxh$yoMoiF?rw@GD~V(OBCeCh}Hix&ysci zj_C0e2N)l`M@i*7*&|5M8w((^q=R+Ik0Mp5#KG^#tiG|xQA2MhB`F}%O*B~v$qecz zq;f54x`T2Ba8>vXtOoi;{gTE(rAd(sMT>y6<$$zlqB8hyYGX!gpw6uMR6vmjMV3IB zR5_i_pG@c9MpgenWkFT_bTE_J)0+KvVo;qH=SB-(NBJ2f)o4K9Pb<+M3B4<%S+rgb zoh<``M7kS#ke`lxM|^zZ=?Uxi$uOxUSy`weD{C!1nd4-)xP#@eWXb?TTQFA4zMC>i z$}Q5Qr-^4t_sM(6GT1=1|Kb!i7uqoDmP1d8^pr+V7wPFaJ<(Bv&CnV;;52g<5+*9c zJcyc4g=N<^oL*5&!`-!Hv&3~Z8@BH_V38;LG}MNZD=!Xgf!{x@6d3P?&WCvjwJln{U10<0o$5_xG5bh zmwug5V%|@qr)NktUm7bbb&DL@BQNHy=>MTMz&YMNh_gqT_Sua(k!nU{R^!&4GBI);PI~+v(GW$~VNPudf?f@sLu4=qg z*z(k=gXQ>ffHvRE4J1RWoDNYC_CH_{NL0!_r;B+{Yoc?>wPqRGG`>fhivMfgq)j>6 zRH7%>;##uN({D7W?Bisl7>pzyt3uGzpa$zPmVF&PZJPHCW4;S> zNtC$;dedodDtaZfw*kGM)U#3%dE1d^zY#tCNn-9oO0367;|}WOl3Oq44Y0$i$4cYs zC!QksJt46#4@FSVMb?=mm}>JG!<~)e5K#O~6AZf!9%P1l}|oUK-SE zAyrMHs;y8lW`R3X9!I5y;937tj!MIdfxfLnkNWA+32EbOEo(EA*1@5thuW0w>gk}{u5$YD@%+9G#RHd2N0+}%(@H+=WOuak7yg() zE62=rzisz@UCz<|w{A^GtJf9na5?5C8=Xr3{`$K;es_LryhwJ=YRau{{c(i1$WJ5B z(f)|AIfVSGx*Er>`Q{%K9UYyjO>SImW2#Pf86i zHaHO7rq1bSC?^V84ll}x+Dj%G$_*Iesr1$LH+6TDe5vh#TRkV5JdxTC&Fskd<53_8 zej5Puh2WR$Ww0YF9N3k}Fe{?#3X6vy=OMdh?SFjJU3T~ulSEu8b*N)Wpl?slw z{>7cCpDGtPX8LdKPA#ci`pfa<`*jz}CLhpOx;8_?z7Q+3)(t@K*aUy z|HF&)=XPa(>0ikk^0(;8{?@;ZXB)un&93S{%*zb0=+CZEc~|`|GS~LKN?vtdUzP0m4n9McFUw?D5&#-lB8@zuUTDzuw_K4Z~87X!f&UgE#H+@`sZE3|$ z#m6CKhL5LvsnqROyxMCl_~Lz$S^sa( zzWJqUwV8SMX6JkhO4X{oWB$7`;oHqrt>0$F*{+E_&d1H{pS6{$-F8SgKHYManB#Ce zC*ioyqvf6dvNy|DrfpeRZvQ*|8&~h+3^SwZU!^m$?LCf}H+{C0?1|;NglH{MZT`j4 z^UdK`UHLZs=AgCL+Tvu-+Z;Dlomql}+m&vw3k`(NvMkm`J~L2%kwt9Vo;29)lnOs8 zHhUOv7a0nEEj1E2S{n@GW~7I4esle+z<_CzW<$k1Fq{8y8|br*}P*Vq7lJ@lTvM`djI77 z?p0Z@7YZgSaXa6;%<#zh@>jHCi`ysBqF@2D@qx2P`(Yh<`7Hs91m;Q}UFoxSoNV8( z!>BWk4)?GQ&Unsd7z-j7^V=%S3L1Xp?VreV)L$VusKf3a9a1P-5&z}*P^m$GO1Qu; zX<9`0nqUKlG4pgu;9@@EDo-f(xs;#X$Q0E^1=;?(TYa}Cy8GW?zf+GyB~hlfzqE(? z&qRIP{VQ`Kd0OQ2B0s?2*`(f7tG&rF=CU|EUy{(Ikfa>!XWy>3RG_iA-A^e0*C=jJ z&zii9z>_gfrSght^6firwP^X)eULsdut;ybxldJCm{Iu9xt+y2SW>JcN$DJDjB>W= z`IZ$L+Jv?F|fhm+GkQqro-C zzO%db1RJ~A>*@-6m5ewYtu_X3FB^%f{cN{aRdVGVx8y42@=CXqsyXHTN0M>Ju%CA% z+50*1PR}=f&Wy=c@_RmL&~MY4)GXk+C09P@wcwAp#WQ(f`D!m>WES@w==EnFP1cVW z^yvl%>@ni5Q}RpmEeX=2iONVm}>Q%?ls6cl+26g_TN?VW5oDb zN^f6~-LH0~%WZL#g2(n-ESEn);p~Uv)pFJ!?4~xPYh_L9-gS$Y>i+MSeU?n_dkr1- z29dmy>*Wls&#r$W!UYe-8ghaUQOXVSi^YoFj<*=E-7S9wKlK0g-t2_ql`hAGzfb!* zRf+4L_&4|km#x%(#n1W{Bdufiua6J?-@iX{!Xmg!Lx~wYWP6Jo`1AHnH z;g*HX%B8;d7qE{0$!nCY)Kzf3TH}5^u;XCQYY8ahto0@G-;$n=sOk3NoS`mkDsuKw>2lC96P7keCU5wi;aYF>B4RL0+mRMqDxChUCGm(CgEQrX$%K9o`6u z@TUwq7U-lSxoy-SPY;T=3CNX4t}W)O8I6uMI@Po@936FZq;PG)G!utOgsT)VXEcBi zhQ9h3U5gF}CZ0>t5yDw@1Ud`Q*+)m@&{>I&9}GfZ;7jdq&=FaTOrbe}$bmtxF-q%4 zCtw)nn1+u0Ijnd%BtbNJ6xK!OFhxg7%ZP=`N4hDKK-FpDc+k^G3`Hjzn_>m2Ird`} z9*LHN7_gw*JQnhW$Ys-!sXd%{OcO8;iZZ}B7zT_+C*?kwR~{%;j+d2aKO5e|*)7w^ z+Elid1aGBa62KgQt@la(y*0$_-ta-kW1|6zDOd|I7GOR=${G?}JVK(~Wbl>j=bn|` zU9Qr@sXF$Rgz?Wvl_O;a9lzOO!~;3LctESVF>kSqggtK@M*K0-jgdXW;D(*pB!>dD zMoP4gV9m)JAk`Wz(N3Gz!+A6h6W=)_z1uSaBg!ag4-AeiM2_}3sj?pmesqXRP(jaR zNbXX}L`V!E(L|96!(clPBe!9jJ$}%!^$?2ObyliuI|`*}BH3X$BzlmPLy~|_8g}Xt zF7Nz`bJDx7C!+jS$f>}#*~%j)p5}}~4)!j20&s-2e2B&w$WlW;EHQ;UK_SIO%ATV;p!C#S6R5K z!L<)dua<+W3#~*3Ik=#c1CW!ArMG%g35uy_Hyxt5VJGpe0JkNfeRZmjBW zJt`75yJR^^)5T|oct2&@Sw-@1NrF)$z;%Fi6l4Ol0$c)^0}x6T%>dy52PmM5c7XE$ ziU1Z=(FAY{UO+s9d`h1DWD2kM+m@b03lVNj$gk?#oHlXmtQ-}MVLXf zI4Gqr2S64;{SDD#p_IM{0Hzc`!9pp0$p9JvY^p#hea`^!QtiT~3Y5~90iX=vNEIlh z?=gTi1yq4j`ceV(0eDn_Qu-1AyeXgxT1Pg3JOBdNZ`pmk&dr~|O5g4PiS;79>g&^poq7yyJSXdOuaEDAEnjnUhrrqgj_*xR8f7pVsVdn`0aE};~Rjt03PW86i^S`$PO3}kW2yfz)j)+ymRpA1O?Os zH<|-x0(4S9J#a5K0QYhq;pVR8e0W4-R{}-=T&4gX(b$y$-2Q#E381~*4+Ps1fV;$x z3aJDp^tN%pGJu5uPpApq5ZqXP^o#;(LN{a)z!3^iNHpCL+>3tHL;>}n8?p)jcdIR( zs0ZB;+`fL4NxZmZf$l;QTk=R8YJ9Vc@on*EvLIyvz(WAMfAZ}Z1yu0_AQE6EfCp7P z0Ki)>-|Q%$ipKzVyBOP%8V14q&!q7(#tkvvO%q}<9tz-30ZoVlU;~T*kb`2$eQ{{c z82}Rspjd*ka;^i+1_-7Kc;{RK*iQjfpsbv5fXM)6RDrT`&I4?xfGSW{&Mkmt07X=R zI&!W8oTPv%P)AM_z&HR+C=zKM=KwZS07W9LBMe{>Ks;5@I<5d5rGP4E9rplc0Ju;E zt>YrV9tx;})^P`56+jDB&^kf@d?}y`T1O1PXaE%`TxlI=0XBXnZ(2s+DT6mcdamIq zvjPwF5BeO!8;U(!DZm5$0~d=Y-k|9z2EacYH~oQ&#ZwMIivnCMKX9>l;?16(1PZ7J zE*4J}fa4TU4_qvss{z^o@III64_qvsvH*Ao>4q2ez_Z6w10b3L>VapEC*FAKv8RA~ z;MwD;5AYiR7w;|9r3cPMw~t!l$>fQ*uX>Cqpq6+td1?b>i#eo!6cNfvdCFpr_5h33 zL8P@rl1qjHiTp^SK1Rw=5VQUnAbUGVZY>GSC9)V8MbyVh8B@fp1p~yrgZ!x_dATGg zkc1**tducX%$hqu@V_&a){@vsRAWgNTESJOu5-u_@hoYD@e}Ek8AU|qJN-lB6 z05UL#vY54GfcSS1Q7!4tC4xYrJ(4(%k#ew(Sp#H$2g$D`!MQ{k1EYxJI4K9~SU5mV zc94czQj|;X29hLXjFob*j(G#*Xa^~;CGok$8Ux6XlX9>QtpVcOL3(RROD?GlByJ-K z4|8B0a|Vc02f?Mz$n7Q99W0u0P*S|ZMCF2m!t<0vkul+sdg^G4CWmqx|XcY zCEn-gLqXKbdevrH;iJ}uV}E4vgy)L`4b5wW-mfx!OiW(+gq_d&*GoTA^LXlo*_XDh zJv)8$lv}%=T{`~wd;E@VW#1lDeUlWgpYIjb`tdr`ZFjsp{BhzfXI8&=yBCwR zePDYFW4eua-MIx~w*%629a0@Z+P)AkK5KP1Cs4%86$|%Dy_XX1|2bAikmxVOoX^te zCX2@_&1hV*ZOW(;gYg|}L=HD+PuV?n$}oLn5%cEXhzp(dYu>ole0%gX#l3f%!hqr6 z$`Yq44GBzNfZMRpms@Xh*J1ZI{H< zy=L?*XRVIDJ8pI2gN72Hn6?QTanU#OVQ|5B5O!k#7EwRWgxv=c?vdyh zil+4cJN-hFcv&>EsuGF`^0-M#-tmc)>>}G-_{oRIJVH&cxdnYd;fT74!*ms9xupHeia#e zu%z7KwBWJ35y`G~mAfr4=`nHfd&zY0t$p9Q#wX;v+G)3dGt3;{+OE(EUB51?J$UT1hQ zzCZGg%3l4$DLcsUepep4#9t4E`T6MWw>sHV` z!IKj?5hq`{m!9n|zQw+}>r42zEg8#7SaO2Mg>G8*;W`$I4IPYN75ALWT|S@BPv3EG zL3%OQ5dS3qmY~SZHP1(`!M`QgqBiS77t3fu|IXyE8K<9`wJ6F(-~GGf%bAZ`1c&Eu zXi?XVPI}Bc>Z4nh5n1we@beZy^3iQYk(W!FT6Sr<&0ob)Uys4k3}f-DJNk;z{bjtv zT(?JRE2AGaB-|MMbMvs4+qLg1dCocq9^M!{Xr_>p5_i_u$!)w;DfR52AfBspV6#l` zH)UD!UZ zodZWzd*dWKzJzZ#&3>zJ!B3<4sat4!-c_HY&w@h7|8a;<{mxCOUMjO$tG92uV*=~w zGcK$1_8s<&X3+~*EjPXI#3Ol6&Cy1O`<&nzZ?)_F^?uLknVEX8U0EbP`qsPAZ-d@% z)2@K$jWG}Vcg8nL!-S3-`e#U%SZ?T#7H8i+CI4;J)7z&QpL?I-KS8Y6y7ODWqRNzW z?KXRyQ_rYSp?AdI5L$r8a`Zm`M3w<{7GtNh?Gq5)M#8^h=)z4m~ z{P29%T&|gp!IhF5d$#0+3F5en<6PrdHHVCcd1U;k#$8drGpmBqME5#M!>4j1j{vlyOn%Xib1>(+-H8*UzW_OXNG zl5<;f$D;jljiRW2-;W@l`h(4z^g_jphjc^jwq`Ht`c(bO&7<(o_q?M^M0R~1OERK; zZR^7XhuCr_jeD~eg_BX;oV`-+zW;MLNxAOyiUPj>CNN-?4yC{yYBVlmCt}eDdGn&L{sJ zOZnu#V;i6RcPQ}5f5%=v`S19LPyRdB@X3G2Fh2Qz50*?f=d6hLPVBZ<+VA}T9gYQJ z&Q%d>dN*0dXEFZI;mBwGcc}AO|NnzchIrWn?;3hJ)r0ix*O^n)!bBY91br7cr^grT?>HvQq?f45tNUSdKcyiDBMvN%CS(+ zgVF`FR?=C=!&3*!8Suo+Hp zHfN+3c+4vBMIAk7YKW;*N5T;0SJ=SNnHtiXP`>AU7)C%D3`3Of9E~kuj)t-c$~f$K zG`55}1K=42SXDG0T;@0c6HG~$qd_NuSL!fKLYK1%N)31wqiVVw&)JydGL*QlT}GD^ zO&2}_%1$UhViHwsFLNe<9X#p!KH>sY$AhXT3s1T}9je3wTa3c#iaeLYvlPk{c+wTA z;tFR@f$|2Frl?buu4E*@7I@Mn2BA)MY@(hFJh8-Gm_q|!a3Rnw+lRa$7;U9h)4g7R z86MC)2-LA?&!qsl0A-jmj!ub(nyG-)vq+VtQ_^(}1K5cvv0pYrm{N!x&>Mv*>7Fs6 z9HNuZWzap-hGz~{ViF-9%v61V8i1RaL<7n;tSbl4%Zl~zq?_o6vW2*s^@hWfZlW4I z@z#oS8;Z`M&p20r%(a9&;7u5HjuLW})kk!tr2u{aZU7t#z5#duSO736_zDmJ;0?e7 zV3q-R0$2k$Qb0X?0Gt8X6i|;70Hy%y6i^Q)fFFPmAcuN*0oVe#Q9wO>0bBr*D4-rE z0n7ljDWD$y03HBb0AuQL3Sb9-1wa;IcV3pV3O){z>FO=S+5a`b4S*mDngLz{oCO#H z5JHia4iE#7NdXjDDF7h=)c|f(kqU4JAd&*ANG9xkm{7lm=sNHS_q07KNCl{*;5UF3 zz-<6)3Tgms03HL}rQjEUCBOv$Wq=s!;Q){UAfSMH>;i}YaHfEI>;ZTN5Jv&^*bZah*rEPyOPJ3QVv5?(879nl{WekH|H ze2-1BM=#U6kvMtFOL(m%4@hL&%t6kNQnxf;=;AM;aS{zp+VDrCh&E24aR6aPJEzeI zM#F0me&(vMc@G<{X=sSiD5l1pm^czwe2M{W;Cpt84H~Pdvo7XZLCt9GO_Ux)r;Hlb z!tlvNRQef>`B?2SHVn7UfZ+$)z!Va8i*IOZ{L}Zt63;xfvfO5=V7b<3>TrWg-!f%K zjT)tY>s*87g1u+gu8mh(J91&bTA$aCZwbcVzklw^r3F{2GApfl+z5rhCpw3(Kebf6 zs#7}c^2W-~CEiZ?WWI*Jpk3E5!A(+e5Z|wLmM9N03X0B4LpvuwAP4O5?f9zM1@uOs zch!V^e1oU`H0~6LHlTO<6;fjGjp^z~If;5*GDWX})D{8-QDlfbLwx(0Ca;7X85o~n z0R1up>Q?~z4fhk)6xjfIPXVLZlC+Ha|;sx%7vCFB9|nvQj|erzEvlp{P56CQ|Iysu(XfOvx7 ze-*QKAC}5v=n|Sc4@(*ImlJj6dqf#d7l2=X6?0ZDCmikNgbmD4Kukf*xJR@n7URxp zF|i&w$hkB1tTgG6)qRrsQAXleRE}N)-hr+>X%D150_Y-ouXMhW)MI#4+sW)cammm_ z@0VI5*>mzf(Ys4UarE??ChMM+R&J5&VQKY`g1a`IVhE32_Dsmt#)HdKo`m;+Qb{T_1?4|vKejWXF!eU+=$C*W>*#rFzyjT`T znmy#(;rX}aW9986eaE02$54#%G-V)g_y4^{T33ccAf#_ImA z1BRUjb=@EFK|4+M0b`zjyU4J|psBk|@SJPQ&NF5QxDFZi8{qGLa7Qt;#WOp*^SP>w zP;pdOcM(^QAr#N-=`Q7NWmrg}db=yRhZsqcnf=|rxdFoA!jVE*;W*(0p@L9Rs3e>& zoGDZn&KAxSE)Z%8mk4!)%Z2*FRYD`-8sR$OM&Tym7NLc3yUj)MJ#RT zU&cui8I=gVnZoX&`#eR7w6T9P$8FH)yD*OF);siq=aJy5uYNKuM)`7ix9e)HitdR0 zo;gR^8+;vR?;?ILjPskfz0l^jbGC7nFZCAv9%4TdJl-F0>eJg%z7F#%9EX;y%=O*z zLil!ScdviMZieg6;*z5L!5(S0z$GDZN(-+{nB09dIfr?yZLDw3<`+EuWA^t2srwCH zN(XQD_$TZ(KA)+yXa1#zg6!((=Yrn-KCk$t8+>!tE_Pnx>z*9rHl>JdU=ejZd(IuR z)oT8UF>aHJ;$_=cJ95)6H&hK~C^s8iZm4#U*G^qLxhOksqTLfg=7%nKX|ZyPsBFJM zMt!GQuYc%n<`bTStHJy`@i&9+w1^y%vjQ4Jrus^=c289Axpe5Ka(Czc#BaaHaj`u^A=0}}D7jHJbEfc*#{TXTS#0*@ z;^NMl=T(NP!fu^pgVi0-WOyhZeh{jSMx zqqj(B^QXrP3hR^hmn5%ZZ;{UDtH-m7t#p)a9JkCT3f*D7MF+bqbWCm3x6UV%yKnd2 zE!m~xZNo!vQg?W7-N7zLoj4mdzh`kc?*+?qNuHf^Ky=xPr9vBy;I-D5vv*_+j>~pG zXb3Dh6|SPCfAXgqe^b%Ts2DkqCkq{>Umo8vGbldmQ{J^GPWjzT7lS)x za{F>p{dbtX`{j~xw`}54d0RDp&;Ib6S{fd{W500ku$vXaO4a?pO`Yuy(Q^U+$MZ1#glnf$~|KJ6`$$G57g$FXQkGw zDZwY446^Z4y?N3oNm4t0TrX3*k56;smre5|SxJ%;ezq=iphN{^=!~>7LT-=|Cs{)T z%kk5-`B0$LTJxNg+cXS5s$(z|h}SILwM1}gX(Nf6kIYpgdpI-jJDqtD)oFqF;saNn zBQVUT70}658(FD302A>GO<6U*=X>EB{8>JkQz|AC@r_-vn3&@G{9!cZiivPzH_^eX zfD)Q(yIG-pQis5m}7#qbCeH_RmK{3%>%D8PL*|dpPZOXk4KkbrdSZo?OK5FJ+@Z-r|=S zbM=vuq)fa%MBSmw%L5)9)5!X*h%I=HmjH8Rtg-0;9)OQD4Iee~7+eYU61v^^9iHiePu(BqlDKP7oA=fYr;K}l>41XQV-)DyB(yz5<9tSi-hNh zjcb8`gO|vbrTD6bue3kBn5dx7lDS9RhGE`ou=_Cv^Xi|&i{3_*!=o>C_#l!COA)VK zu6RKlid;)t%z^vx5xJxWC5Sk9(6!Q$A~{a0!|Ps~n=GnpLywKuw=je;il0Xpph_h& zkO;A#et2p8$-_#ep@O%&r@gJt`yUH1L=q3xBCZ{>QQZTBY1 z_3bIE;0G2XcsM(Wh)0gQ}kMR^d? zS$hCpRg-blgORcjI0Sn=V7(59# zMDK05A)4dihA6Lu8{&Bq+z?hg7}QV$l#)fu(S!kF&;<*q8 z2rKO;WU6u*d9MD5Ohs5Rwh&F@EhL_KOKR4IlsH>B2A z(G{SJDA$HR;<+Py5mubdL^EeI{G#E9kj#f4!WXN2L;Y8vj~KLvKcaUC{)lFI7$VBm z%g7bPbH-yb6=B6PCz?!i5-$ytT8j}9Js2PcZDD}u?S}!PSsez5as~_#&si`)SP>XV zsliPcASC)QKnyyFngI*M>m#suLM_Z;fjGVj7EQ2lf(61faxE-I z!y*C}h*BqNu?ZGRut2=FfrS&b*a{28@fEQ60Si7X5T=pqU@;LE8L&W<@~MRxEYx6u zcs-V9-^VC0{E5%|93e7@*y1=zs1Cc2@p;5g@(yuW?l3v9_`W3c)%8BcOT$@2rg^10*$IIlHhNgsCmvkx^LAZ7c^-x(mzMO?PA}mVjM{KYgyXlVT!J-@%6|g{<+R`1F0E<*uAWAE!1>F%D3_z ziv(C8O4FzXy*gB2fp~o!7HQN1S4I`RI#$D?4Hmej?!!S7njYfJ%==4 zfOs7Zf!8+}Y=8km(H;i&Fz_mq$|6jwu{{U$VPOOdL}?$j!1f%{h6UnvHZ1z6g()l$ z$JJpG0E<3YAWW;VJqH-DkbwoFbRsNPVtX3?X=BwQUI)TrA}kEn52>$2v?;)19V{lo z0%5uu+f%m`7IR>MC=H<&*q(+3ut2iKJ#{N#p$H2^ zsX4X4UNp>w1>*HhSeR1_?88b#+Z0&rfQ30M5T>iK7j?^Fp$iK{X$iH+BN2(+PoE}f zPOP1==8)yF;G>Nw}#{2BY7KR*0$qunLB`707CKJZ`9iJ2~B^=EaL1QZFSHA9A>Hh=>pz1fdjEl6fd<(3m6%N5J7E7AGKar+ zPSFMr{D?w#?|KQ5{<8*+4}|Rw#`sb&`7bQK6#j>qZ~gCo`5%SvTk1<=1j?iX$tHm) z$G~rE%>QD04E!JbsP21{Uk%1T7|g!Xn1B=MK(onjsS1euU+5kK{}(2NG!0i8KMx_JoCV}k#H!~{db!YkmVDX>KD4uj6-Xsv=KiN>d zNv}I&A2;7;GWsvfzP_L9{{!P&sg>)Ek#@o5F*zTSR541sLP<2JwduQXQ)s-sMwi`&A=01hR_FXh8BU1=dOqbM&wTNh>5rQXo}e(ucq>;2?;@f6Y#ed zJF?SQ;-Ud*(N9+jBG|rtVu=lrZt->MVYMdu36p4SRK_0CLMU zz;xS6WGRoL$kP`OzJ9HqlpBG^zRhw549AJ4)TFpytP!7P`)xs%*S4~xM^BxUZK^*B z(WboLNGzQn*=wh!G}yB0+55W3Dq!ykRCKeVc$^Qt%astkZbw%np#(h}3T5x#dsT%= zBRJldvFt+isQ@ZHTLiL~4;J_(jkrb_CdL(m%EW-N>^7nJ-Bn0&EZxW}gV<>mWx=T1 zq~=^^$uGmKQ}_@33%1^N~nJWDaj1TfW$cjZV? z>ySq8IQE_RbVJe3zN_wkl0m6q5@;R=@g|I|GYrB-SUzu8s?3Ug3ngT72(Hn)h2u6J zFmu_U?(k?T9Bc3u(h$UD9Ka(IAEA7?!31XXCHQ(z6}NHxlfxfXfe{EC#$kn*Q8B+X zN(Psn3~>=F3Z_+ao^v$6s?Z_bLid~Utf?M?(WzDj9Bn(J*4ZtYGDeK%72Wg_(BI=k zg;P&zt3b4yr(+g1nN>CDn`ix9cljUW=pN=Gj(l<3?*VG1$6_g|r@N zH=7ofg~PBX(8>BV$_wn%uscJi2Hnn8(-5gQa1vC{Be64ZyQsKt_N}qEU30t~T zyMD}k>bt9j(4Zq9=W2?9D5E6~8=uM@Ei!9{=+qczceW=l=FuQOMqo~n$(-KZB)8>b zm|Xe$;k4&Orba>_Uo>P^~ z5rXORV*)ua<)*%&?JM$|89>em72cumE2z2)w^Ucs5dvYi&5P6)k~-c6#m(aZ-`H_o zSz39Ob?&wr(RFePt@zU9Bk$ zrgM6Ei5?P+2<>2V!i~m{&4j~K3;o-0&{Dt%EQlxW{C6v)OgKHwqCCpuVM*+#OK{a= z@#vvn2N8T!aCs!Os&!VJdacWA_b`}^16r{&HOv#?>ppY1T~(;tT=us2z#&uN=t6%; z@Me_KkuAD&*tWt1wGmrgm^*@-{>6_u;747)ogAd1_O*(wDdl+JG^>aTrE%kV-bFk( z1PI$_*te$kQwS|R-;3wAp&kMuf`IAGLe-HlT& zCW{$$aihCxkWyOU1`)c(P7;%9uzDiBbY0OmP+(7dRtNyrb6ctb zvi|UJ`3C3Xw|>d_jQb2yzgkyAtpH* z^>f3#=m#F)DDUCu3(>ukT5d56JUY`zbxQ`OlNsx_b^`k~q37iz@BVBvTX$tem_QDl z>a?YcLb7b#@G?aUq$tmPcL~!b6y8LKTvC`A8vUcf*f=Zg=!4b9%7XRUZhp?29596} z#aPRv_&N`VYp*cW^6m+fap#vi!CQXD1AowF&k0Iw>>vMQFEm~d6gq&E6cD&htO6Sh zRVXB;)mI1w6Iw(~B)W{Jv#4Bg%H3Mnf`7M3rSGGDcTSya=IBy0QdF}a*_yI6Y|{I? z^nK^1cW07k=K0k-SJu=Ct=uiM;-mrj2$YLGmo3384!L5cxSZ`cL8s-eChwzFq4P+5 zb8cu~nrdQ6<)<-n#9H+N#52~cB~pP%hR9VE+$|5oP!+&QvVd_{3XPx&@o>J6AsDs- zWc9|Turw&RO4(1AJ58aGd!SIu#PM)i^<0h&v+h-pKZNU~9^Zn)c@L803SrS za}FIvPWd366`Tjsc5y=KU>}u?KF3(!jT=u3b{+|}ExXO`jPo-CJJE_D@qWt%in?W2 z8~g8JMr>jh)~&4Rv;#Z*ei-)gtSzbbjVjHR@=6ou+L4!?VK@P?&5TDCKEN(pYnzU?jUyzWu@782sy1@gq$~q!?q+7Nlg#q>M3fYL<%b;;T-~CfA)hp$Z`;?x)4+!7qz8^M6YxG!6I&?4gpN2Vxp+Wi)RG+t zw^Eot&J^#>B+lLaykNb?J*Soq{iHz9Px*>)2{iqc$<@ZJC{%mlWZKvT4SSqq5`?=_ z#r5)UTo^^?5J|kg+gwxDhsa6QiWjNH6?$ni*+?Ufq9c|S36KOA`CB)8>z(LvGI{Tn zi(qv;HA#$gaJj3&{X~eReM^3zU(s*V!@THvO6oKk+VE>xSc^=mO>J@%q%#MCBvH{* z6Y7Q|I>-&hNDjs5oHUiDwZwM^`KL4L9Wy^VTBEvkr2Kas4W>(tE7A@Iu|YnnWYo*W zPPND>U9PY7k1T*pgAFM-4u2~$hkRtJUInNAmh3bE8RngWgib#*vt3#ri~7&BqKHr$ z(wFN}^u`g-a}*f|gMvX+AG|YsazZL;#QQ63rz=AqKqo#(irQhhY#-e+;7x)cUNh6* z;{Fj+O-0@(ZfLbt2QtfxYUvXFpqS*R4#E_?z{9Fm7cB2t))B3T1oM>bMJBKSjbW`qGxq{c>WlXGQq&_o+OaZqed@^ zxV9TwwdkV-Ho~mLWV9NQoYg#72nGH}=PR0E7GcI3dI%9;=uvKZ{hFBxGTpx$Ftsqk zCL9b5EEEDiKlBuclV&=VEfP)Ku+`66)T!B4ttdoGjxfr7qQ*8 z(44%PN#a=L2&daKwP+U}%+`mPwZuM-jTZ4_@Zl)FB^-1)mC+tx`E1^99U-{--rv@p zkkToEHA#=(?YaY_#UX^#)IOXp7qKDGgF5i<8kP`Zv2sn5H))lurz^MF3A_g|_5z zC)CKa41yykrubb3GuGU!Z_bEJgMEXNj{9TpQ`^Zs%t)aJ|J}Em{O(ne6yN-#-1v_+ zTIAm#&(qLjrN?ANTb6SrBRY~?0OfJY`K|ef?s+>>;8r1Ab|-HX?G@4Nl1-n0Inkd@ zq+iRWCLvYf9iPIpM5g>)?eUHDu#RRCph191);4jdx+N#syRkCYB&2zqH_KB!5vfaU=>7NF+xpJ{MLc8XjMIUpzC;+&??wFuM&?g8wp}$Ga zNUpCxD-qxa=A>{PoU6j0eF&{*930M7;U8^bOl{r5m`Y=5n?UFGt|Jb}9uLv+p*D~i zUg&HKuQx#2{HAeuq9-UX?tk#6y&5}uu)wD>V!Ui4+Gy1CVPg3kypNc)1$eyc;k$m~ zLNV|i?4sj~!-0Op?K$05am@_AL`+x6KUYP|bSNbhyDYr=T&5RTs!=F$A(x1jV-lS? zvFc1v(z0l0#yeJw8Di1#&(K2DiBtcH-=K1mN+%{-@CAn4oP7G^J}+z&dNPhdR4fhF z|5b4~vi^z6A>ke=%kw;h4SXL17m!~Q923woc|ziNIJF}*i)5Rw!-wA?rdx_`41HVG zY-|1%|CWJvo&6;KaN>Q=WeKj=z!2T}&(fk2&0hPB#LkYsg14H{TAT2kzLsPQR>ssr zR&?NzO;yt@f<&!fQKN?VnN@~UPrZOs-t-+)X0Hn+k~>YMW7XQjI7}ML%2dT90QCr^ z_BUOj$^@;V1vL?i8}z5gL({)>a~Ow96)8A3pla1KjHd_&8*G$0sXpbH1YDs)x1q~2pUGde z&Etwwp_KEO`%7rp%d@LXbC<|ZtD1()lQNoFX2B8%aHaH{Bp>H6KgG!F>!OLa{9=?U zl!&dqaH%KRM?Q>2T&#{0z3Q1DHn0fYiX1}u$x1P)4Xb4eADX03QI6up=!vr)r6=*} zB<7dMT%?taKY6)i2UC+ucc66Mj@mrQlT3TD`bYo%2&>UhGRs9?u4ND2)3BjD1c;wz zRsMRn$NPPXwddFYz5d`-ahOdM%`-XcL>}ke99j=tL-~m_jGt{A-o3;g&O_Cuw}Zrl z2th<4_po~dar=N1xKP*PQak%&{pa8wHFRw$rr^POu-(8~G6V$W_C=%!2u}HNB4|zO zb=#+=%sDZ5YD7=;ie&|bXn@{E7gAf9zbiIX{MVLyG*5;?B{!F~FnN9}Z=4G-dGNMb zV12r_Y~akvdkqEZKmOxHCHzRRE1eU0 zuhhAs$m$323Aph>K9_3a?;nYLAA6hvq27fMgciLF1KZ*%O^-iTw;o4cI+c=rL=fB9 z(J^`ylLlS+c&Tvcx>y6J#V!iOokS+U`%`l8=~vqOHVhn=Xhjs$Nn+PwYJ1X*gbx^- zNrRKP#X}_`3=A*wq0Sr9`iIStbU(!8@o!E7+=oMu9KKtY)84YoG_ec`S>^85$CZf$WV6A7dHHPmsKwGu z!BQPuQrN>M6tU5!SeGc+9+8dC3Cx3O5WGtDw2dyh^v_GUW*7H`6w#DFY(jp;+xma? zszaOe*W0BLk@M7`Wj{EHMWM7Db0ssEq$P!B62HmT?(XpkR5DBSX8cW!f9~R(fqAxr zm8`tjD@W03?xsfib8S@R>BYNRfcES&8pRi8TzUu5m~$69@ywNPx(?wu`loAS3`-zV zP8;hk_0u(OC@{e|iT(GzY6xWWiP(49G-r+A+b$+z9Ds|X-Wz8EGPnzWN7UnicYKp5 zr_Oy|m%$8TE|JIn6!0+U4utcTDs23^%P1{GsN7+kTyGO+x*2LjFly=0_lC_6uS(c@ zPLxXjb`OnaMzUP5C0*Dh=Yv>%AgjleT5ooYElbsy zfK1tWLuPprL40fn^{>&M%(4@bch><`Np}z*J>>30J!hrV+3vcn04_IcmS=AFt`|4K zkhoY<^9wk|h1Z}Oo>&Op%-UlLg)0zO>d|WEm18d!kR}>`Rb`>eYW6D^08KIV&*Hmr z1=eWxK*hf)6?|-d&@F_$2j&B+_f71`q~U>!j*VBvH^btonEcC>D_)akyp9RtvyV=S zY!kDX$b3p=bG|)x0IcYnL{i5y)68cYRb-@v%39{Au7K9=UiOofYo z>_(Lsy6kwq`4vekwYs26dm`>(+{--L&o01BVKRV#xrQ-NV@JD^$^CDVI+jWQ74A8e zXWv0xCNUFX9O=?u93a!7#QrQsne=o&YvWh1sV*+@xR~ccPcI}u>`NSP_Lv`uN)cFKw|jnW}7f*Kz7V|8o2n&Nok1ooc2d<^PNKKqCJ zzOqYF^m5uDfH>W+5lNTh@7U@J&PSx^NaU*nSrzYb=31GJ9RS^JL?{vKd{doGC^Y2e73f`%Gru^uDIUoakX3G^la9%iGCGi}KG&TMRbX25tOf5WXto_lVpNlG z6xWAS@1Mu*tULT`ZLT!aii)ZNFlGzSho&VSio4X~%n2mqw=$$M^F383uLt-B;7mJw z@v~?;nr1|-=Hu_%7A8VwV&nDLR{E1~MwFaH76#5|SBvs4cO)Md*brf+~2gjr`T zl1Z)E8J798e$2+6?v8Rbo3mKauzX?8S9PAAE*Q7o`-2#nF02mdjNaFj(>G0_`!_)U z;kBan9QK^bLf^g~4-?G>7qpB|^`NppAMI)_iHpuQiaUk-3p1#cCys0%9OJdh`=?JI z*1X9$+Amhn?XB5^F*P6`ye7z2MKcAjF_Am#^u>)9YKouNGcjc+${U5$T{1X>b|aKl z8`8A2SW{Umkshh4#^%eEJu665@LuQycH*~fexmWrPqa_+_|vhUgOc~>a4===j>d3+++>I2|Sr4FPsap<`qoE>a zI0N`iY&|wlBrD&P5iYKmaUFfhGtR~fpzLNAk;JTQb^{TeOWw!p|ETq6&W6l; znx$hF>>6OBOYNlWN2On7LCZ+lC`E!dz|>a86gB=P(>$>4U*XeG)Okly_0n-F_bqu? zcl4&WnOPbgnr9z%bT4A#`Bf^Dh9^R{(YO-LR@}@J3hCE!BBtO{JjNC2c(ov5=*O-EY2P z#OZoAV-bo?)qG)u%M5o|#sNPsAy#gSKLAONRU8p{J0Zc)`gc4Tfw}+d$l+_om2dc& zm8jkjtXI3DsPc1%WPCoY1y(&ttL|p+=yLRlXI|3h7D?Mab}ty7YMwdlc1?t=CYkh8 zIsCO2#P*I{KeGf0Cla(xLY&qr3c*-R??;$VL_s46q!JoNp8|8sqPq7FE;MTUt42ZW z!yo7DugyU8xllDAE2yd4n9t%INy>wlFdomz|JRfa|m}(R~ z?Vr}@^LA!kRyrZBn?D_P1QRj=@qcxnjZBDxN7em{_gjrvzz7bn`9t6xPgzd;Ak^9HES>wU(3l2Y?;ABF;zy_B4v7=wptHk)KZ^$ESgpOqf!T__ zw?*Y8H8akZ>+{}-A<5C!S~PzS#I2J>(Tg>UejV=6D;mVz5_$5X`>l^e(2F(dBmmy* zU`Y0EN!+83<3{6R%ywG5I5h519Rsg|z^*Td*kGFGZ+^B1jzjTm+zFwYcME>g$ZJy# zmDcyHx3tRr{8IF8$!!)Avi@t(iF&~oGOqH6{PLIqpF!B|0toLgZKx{Kg&`M{e^_lC zxT(-BD4UdHh)mGWT;r7m+b{ zcR0rY%S4uec34y$93>^@IF__ku;|Zo7Cv{s5e)+~=9YUyf1~bIr*cPjx&<^d_k(`eO~(Weyv0pOugcd-nA~&ie(KIh*d~NEiZ5p zQ0e^6S*VaNlSiPU!jd*Mwc?U!ZI^7cAvI=A9}`LS7RXad-Xg``WKPb?NH6ueg3q}5 zfbAWeI+zKIgzq{E8>?{_i&xx>J6T!b!sRt0Gzh>{apmMwO;(*$!pG(C7tvUliE z@ndnmy{%kJwj=7kfI&V6y^6H?azdF>Ha*BBldO1_7Gj}Tm6nIJz%|HrHLZF;-KK|R z9K&D38U`U2DG9La-BvC?vVkG$a@2%fxR9KF&y)T>7{iljC(Grx4r6R9Pk8d7l;qgcckUN zXfAO{z->((*$tCePOeG&G4MFIYGNWqRtv+~Vua4Ep1F{qCmnB_8xaaCXBDOk?$(nL zFf$QzW>Ss4xKVo0uO#kL&j|lEUbYu^9IEQV@e{^PyuhZ^f077R)=k2g-A}}X;i#{t zTSbXaLeSMnrvE|^>^rIvEciXKYrOQUtI9$&?E!|c1ACT!CEcq9hTEESm5{w8?#qU- zNj;hm>p1zt9IuN9Kh~y$w!EqUbNpPCvtm561CZ?hS;4sJ)0f(HAye=ara0MgCD_!& zw(Ca@_T0ubw=KXr>%xx7p#d`{G}*~b5S+kHuR089y^acKvw7$2z^e=~vt=efb5DGP zg+KlL<*$QEq4=l^Z)^8&)Fe}?`N@(Bs_xC`k=t+Fk~To4riT(4e7=RmyJ<5$Sidaj zEGz3`cbbmC#U7RnV~{PshX)z&Ir22~i~7_i%U>FgiLav*6z0b306`C$j$U)*xf;WF zn}moXsKk5Fb062Y=`8KYU*p8Rc~O#bh!mEd|4uLofvI~l4PwDAW>*Rhltwr;B1?1dns(ry?Y^sKjgL+LZU$TN|wpBt7=A` z@)BQ8$(rhU92$*AeExHf`Fcsxd+%7#X@~PVg=Ab{V|K)o>)9F%JwtmTB@*m9<)^(& zV}5`R%ykOi@^hAwoiX$CI6^NkD^Z9J_47D7YVb(%5lfnvSx|unJQaxz|FbnG`_93Q z`2^^73Y&d6x}t_A`|~(V3qR<(n-SCVI0|1R7%M&F_Z3wNk!K9M z`WZzVHzUnP?nKYya0Fkr(mfqE?HZ-40Iujjc?`!o+4`G&GAUW@$8OdzcBI-u{*2#tdP z&MErqk<(Y!)@B(#`j&8BFC?B;l8!qYR8%*C`I zCAlVw8Q_bXe+|dyYK`WW=_n;Pg0XV#G(fW0Q8M)WvUZAN>IHvVWDDJ~qKRdmlaiqB zEDy*Xz*e{C$=u9t$v4oQQf`ec8FQlJtO2IhV2=RTH0i?G2~1U;ye+6!DCw%&q9vY;qwh@nS4+J{n>AK^qdm}BY3PRsOB~cgysgHyu|pty zrn2&{wzUCIVZhSciRk8h$JWc9b4*y{d$ImN&6s;VZ>Dp7h?#}7+1ZEw#`>pMB+8rV zc(2-p=hMP7%3Bv%mRpGt6F*9aecD(jwUKja1l9AU_q7MI&WpO}Bh5+R{)csGBwUe= zRz-c46&cSjOyR_wu~{dYylwzuF?kRvA?`K`q;%Lr|6?1H+w}cRT39(U{Q)WI08icbx}=wnCH95lxS&GfqcAmXf=jk8rRO*Gx2}2 z6H}$>={RX8I(Dlr(vDFRU}Ep*VKY#vkzKTinshi5=UN&UyxOx0;J_KLP&ZlpDevvF zt}N6tud)+YKj54I!?=XAFZ;Bqe#UlU9b2y|jwLPb9P)&&vUqC%(L z4I%jAI;M`2p%j5=-3;9WDf(`M1H$0ERM-0hF?@GV?J!VvSG&_$ue&8ZPP44dPUAK` z_e<@3{vQk+)YJE%{iR!(@8K4LpTE24c?J zzyfd|+CdCeMXh^wR(Ln4H>w3xa<(q{oo@UPqh*p1F- z-`R(Q6|XO{>jOo3xniBJid%2exCn!#1WB%J`Tnh=Kf_BJYD9Wm3_;M)zLB7?UUvul ze)Z;UiX0Kxz#Xb?>6>##AD}m;v9@CwOjc`HG$0FiCnA5jT5zBJ1wirO!ZIqPhGq>M zENqv8INj`mVqNh=ztjI$zo$eUOw&^M1OnkG4#B$2Qq7s$k5g&ZOis6Uzu08etugEA zYQ8g$dC8smGX}x;5|su&bfYeGq<`&_6VWI61YOYYS(yFWA4Gqn8~qJuUaQQBB)X2K z;4yne#jB9ZNNDrPdrY@-cV&zG3>^A~<)_x@Xp2!O9 z=RnIJ|BUci+lzg>|3xUJRRESBh?IVCMYAoLW7OdJs~R5ij;)dPEfntujv}X(^}rn-+UZ3seM@ zN7;mS;53P9v5GVCUOs^HNtpPNOWxF{S71bYR3tvZe^TLgcnugGP+-L2w2uS$C?Ue` zfZ&r_U$=VMxB8`O6>LFxM;=gXPx$f|IZjI^ zztRM~J<~4EhYMvLmT1T!nvVWHqWF#4K4VA21<0NUq7=$i2QK4QHu^c8uF=Jb)M#S|eqklH2UA5|WF-=5R6z#tX zbu-gRgU1H$1|((ciLr&T&~z$TPa#N1j!7|*$4&(D zv5!hRm1FK)CJIvlIkhrH>W2j2l_%8>Ct1-Ix-{X2$}`;6Aomt{#tdh&i4iL;5pGa- zKOJDDp6?|bwQ+fIt;r&}iFz8M1MY)x@3@ac%5V#ffPy>`759AxG)00Hd?E-ld68<(WbozFi}QT zny`ho8%G9{4`}I&l*=WZ+epa0z-=&}eR}DagT;w009O;I=744UYqgE@da#`kYKll} z^pAei>OxlKfnhtQjo-{-1J5HS`K2@-fR@}{EVJ&wU|aEqyF_yoQsn1tbertx^SSqJ zL#6I$+6(?EYWb{VYX%K|OV#3?hF+{D>Sq96>w4i#4x*c`&I_hrhwvy{$??mAPJ3(^ z1x^udnd&I`^CQzrq2%Z&faPVHNMZCOm6p|{eP5>%Ipek+Q#V9*^Bx9||-|6fVxz!3dkR|kU zvaqRqmj(aTF7E6vFw}3vA_Pu8F9ANNKxZSQr+M_mY~jA~Nx*V)u1Q0X{KoQq)SK1l z@rq1O+HgS^Ym?KfpnEy5|Gd{!$kJmc?^V%fogkR`h0vBO8@=~4THrYU z?E1Sd$vMgIxj{~BicbS(OJtlqN&7O`M!|JJpprh&B5As4t?%haECn$svb?Ibjcvm) zKDK^23bqb*il}~wHVO)2zYHDTV>Z@et>iBfI%;bw1$d#V99Ln=LhG#M<(}9P=4Kyh z3AU@Ve{TvFvCi9{7x$yxC*C*R0`)@OdN-(Ut^czj&&el1DGtMUYqJzAjfK4X!N<@v2h z^Y6-s)mZ?OPNh_``FDFWMG#+faBPW={Xu|!{SZH(f1rW=rN(Jns~Q5Ue-q*rbbv;Z z?{oPnmrgX=SP<{$&fGr%YY4@-CEp%fp92R~`U3Dc8(E`b5wZlu|H+!Zm`*x*3DSxL z@yI29P}dz3vm3yeEAGjZoUNh>Q?IiJ0dLH*o_Cj0)3iJOnr)@nY58U6YOORSUi5F9 z+)P4@JZ%0R6sYKA_t1HRhJmr)Y@AeNOK!BF_5<5-5iD-JE{t{* zi)Z1x|D`II8}9kXBy+$yjh-@blu>q})j?`vdG@Ca9@OfgvD9Il7otSb(f!cy03I%} ztaVD#2J5{EH+Qu)-A=FT#u9YyL}Y-3GIOZ2W@lM2u^lte23cq}_9A$~wk!gmb z>FMhqk-uitAfZKdQ1kEnlTi??fUWQ!^DQ_sp4Ocbk`!&G&2t6CuDu)X|6qu%0_}R; z72L9Q6I0foj}mlHO+q-L?Pm)mHX zEH!Z+Wbp0_8quDWbr<^M>=L=mMncZ~i|9xBKOOK0Jo29sk#uybajQ$07#Yu#nI0X~ z-=CW#-pA*kcL!{&Mup6D>M5b{HFKs`k>U(Qt~Z=YFbB zJpwS}q{tN(_T$Z1-^-#Az_sNl61nN@$mbgbFl%=m0uY>esONFR{>l;*6U%QU)ge!OtOi7pLkCAiqL8ce-TS=onY}d9s z{*hwdvx$5j1s80xF6mrx@T*7N8YZ~djTH8fk5zjM5RloxvEOMa>GI-V#cyzTdaUu| zds(P1Wc50Y{p4*-k?f%G@38)D4o-fum&fadN#^(s3)Q%RCMN21FbC5>5?1fLz+m}Yy5SEXCVTbP#WVS?)%8}?HOrIX|6`#%T z8xH=d+|z|&eMlzpTeUvFrcLvSW&lGABoHAXwv|`7940V3CM&^Pis7vRL7Vy(HT5=L z>)zyHqa<=6dllWQ0|{}Cg0HR1ZMYlqb9SKHs=cec97jgzwINGS`KVP8fLvB-FtJ%f z=S--B5jx&QuU=VAE99_Nv`4YBkrP<)r>5+1eEr!n8?=|@geu>-{eS~?l_IZ%YQY1#=!;YpJt`_HT_iJXdoEp?D8E2 zB?Gq1?8<(JAYDXuBS){ho&1g9>|b8f7`k@^S?=AKb{sn~>rs~?!Lesw+BL0M78I71p~wn-ynLx&^2|&3zbpr&>Re>y_-Are|1m{F;@HMezh)m}myACv zho1jx+56QC-*k73lExpLc31EP!8qhvG1VToPRY|UzBc_&EjFySmLZBF^H#3)zO1E=DM=5#<#PG6{dPtj*2=s4y2VFkf%PjwZ|TLnDvtD15lu=h>t!sn@vt_3!pdixB!}<&8Ow`}wVEq&i94&1tReu$&1<2=JL<`o0`({zXE#}N1~E6dql8z2c+c)O~}D$=FPGtFGmB{6X;`XG#^ z!C1S^FpuKkJbA`Hd_p6eB&IhFI_ggvzoyVy+}Y(vX0`2!y%rY6FPeyoiSMcLNW&MV z>1>0BFiR5K(0*4gn$8PW+z$3ITgEhQGKeQY)9hrhV~+mw!=I3sYP z_{a_7o1eGeMH0?a9G*5W1)OvwxkrSa4hX-pEy-!?l$*ZyVixpSoBU5UA| zEhuIUX7Uf~VH&P5Ljw)#VO6m|!A#8heIDp#APy=|S{lDyIdM zh%cJuN5DlC;PVyB(5N=qg(eQ!W@Y#*!JiLSo8}fX&t6ZfwU<^d8X}MehL(S@@tLm( zgoK&Sy4eq~QN&d+x%t)+vsG@v$czKb>3`iJ5Xh+Mdu$$X*Hj3nI7CSk7{z`U;;d-CO*T`Gu18d>TFi>)1h-I|8-BFvc}cTmd2cc+;JJdtmjs2(rg zM4gI);yW6Z*9)3#;L}hcvYq+8xfIICWxva%_G>|w%c!hzwe147?9t9T-6c}mZ{b0e zCI(udLYirdiqQm9;U5%0Z3ugFZmL3rWw-WdMs_4fLdDFSC_`+~$s_~0LR-^(hygMc z)3Gv&Onki2SP7q!c_o-D$_J6OY6%lIl6U3QcRAdnoq%o7|Jp|`BX0-^O`1tbbZy;m zS*fWwBcd!~M9rwccwDa>FUlR`JUDkdfx9ssn!F=wO}gn+fA49?-`Lz(pI%(Oi>$L8 z%}T1fU{R|BmIUp5qH%blOEWlny3w72Y8k1S#(pR<)Z}pcVpFCAt#N*(PRa7|w-sp> z?&!XQ5HncSsS2t1f!5$E>I!VS&`Y(u1AJy^>F{~rk}O=`3JlxlgIb7`z`hLsJjKQN z`;glGf`2+vZ&a}UYl8}DD*Nh;UPoc}yUWH5sV&o7Jv9%rlIEg0G|vJi7_cqmz_Yt^)$V*KDa_Ic$J zNJnGs76gHpQZgY}!gMP78Y&a{BRfEo(s_U zrEuvezZec5xrV1^sYK_5#l#Q5%aEWDlnr=Vg63yH4g}4zzY2GaII$=NF{?AMb|*n! ze9r_da5kCU65~hflf@R++T+U&wX3Fi(wC=)2qpF?ZAvp>*I{&xXLVH-$4098TXaQV zPIyIxZG#kBF{zpH`q`bw+nb^Coap^jN`oh+5~!}n*+IfO6SK1eYo|G!(B*)^QlYfzGuja$;x&4o)HA(K22oWg~bp9pSnzL>mv;S>kk^AQB#xakf264I9Alsb@InO>;BY*jQ2Ya^qF$Y<^&LzF zd9JzN_A%L;w2=A|Gc%PM4u?oQbxqpt^DaYVv3hs;!Wh}a>M0yrrEj9TOXsnQxi3ke zrB)ESG?-+JHkaLB+SEyga`eafh;fPY_J(PzEd0VKnu+ot3}wpEU)K3`Ur&mp&y8Qx z`b01S#)$7i2|~HO7}C2h1|6XcxI{Qz!Wqd+QQ8+qHt>i7Yuq;{81*boWG;It{}oEP zeRmCQ<}u0kbT3+BjDM$Wl`(_7D}uVloaJ`8i!A;8v7f+<+}u_cP0x5u7bO(Cfg-bp zpkuI~IJk-NYW=J)f9!zyHeOt>iH;^icP{p%*G6cSc(3?~H|Bs$OLVMd8FfS9VBAO? zMYK1XxDcqPE&InmZM}|emw(9g-WlsN_oDLf%Rfg&&{VG^&pG*%q$`AKzs0dv3zE;>{*_SY_uBzWhhti20Fr zAccM;dt7DGH^iunGfk zBQIGec5+F%&OO1c*rHZD^w4R=gMi>bVR7<;d@G7l;hat8hopwl>0cX(hWx{=5Jy~# z2@P_mt@<4V+!LygYwtlgk$Jy1(7o{#Ke1}Ial5d~Il|(Vu3Cu7`@QVK8yrzRCq?Fi z@jLDPA)2H~o&w{|d`2ZmR`C`yrX(_1~fdLL)l_RAu0nA1XAGMo4 zNh-RQJaae(GX4~JR3k5qH#>TX^S+kSahnXCg~{VJtS=++Nx27Gu8-il%uCv-L#Xg@ zs{Qy+3?MpxZHu$lTD2hFcD1FBtZjPZABzj_Su`m6CCsGA-7H5OPN|NGM?0QX3F5G< zWcMSRrJCdBp5SrU0$H5@WmRgLfZtWzCRk&xjdH`TnF-nFAh1YfN4HrW=1+%WTFc<+ zlAJA%rwjZ>tPtZ6oStW>q2hz_cw7RNbzOAJJLmjsc&sFt(?x@G1 z&BSdL&tQ-Ke~I%+)nv=qxz}zv4JGeegsP|Zd

&;#Q~i>L{Jk5`WsYC>LYwx#j!I znP>Uw_~eI%YaO%V!9CL&Ho~^eDso6VHew*f%j)_OnyGMXHiQq_zeG>n=NYCLwp*yc zoS%wX;1LLjhnUbhJdM+@aw}#d*HTopeq>VC34SSrk%y6*KrsxBKTqrjk&hn6?svPR6RcG>IjS`-H!sJ$yGhHC|xydElkg9ppN`x`qD7R<=25qqp2pf z>sK?R2APJc2}Gve9|O_GTNO1j!!=Qj%;+i~C^Bl3t9gI-@6^%s`d2_V!&MMaWRj*| zqh_LV1A-Y3fPi3TD=Wa5nE(zjoBi(sgjsY>0CCnTC4iVUfDE8oKm}IyERhveEnxia z|I@>ZDkaXe1+UXQaA$eGnJadL9iJJFn6EfPJ)RPzaxd~oOHBuCt?ZpjIcZfdeP zxp;BssvloC)p%Y*l0M$^iKL3RlO(C)>kSY9rwhMt$D}!|Y*CXYOA2fSyzKFk80t>EB({3OYc2!*Uh^4F!q*FQj zNmr2Muf{Bno{U-FuUZ_2fOLALX2msNNcmBMm+ao1bLndE_Y+e|KiQFNJAHmao$H~3O$?Al0upg=Y_^p zcOmBMZKs_k$!?5M?R%^h9CGY5E)+#!f3oq@rfVk?t-foTIx< z4IJX0Q`Fg66!($Zn@d=w#^!XtA};lu^fR|O9tc&ppfI>8EpSP1N{eCz8=uBoLil^= zWOLdcDy^KhM@VL;VQItrX;`Kqn_^ntvZa_-&iunV?YG)fwA@1Yx}?+A8fNL{=$@n} zN>3;0DU!sAdh+yfqMkMhosbp#OhP059Z8l*zila0Fz^u!xeVMkkLz4&e40Rgm*O7z{^{q_RffcEfcmsrjL9H@kqW>raO|ak)V&z z+IZc|*kJ-BF?OgoN{k(a0R&Oz?AVMKmG>uOX8uliXxFd5CHzj%DFZXh?5jYDx}A{` zy=y!_XdC9e5>n@&YXOzjJ6}L$y}imHS+|QZD0GPc{|5m0s|VnNqvrwmNI1VgHy!%N zudYg4{4LvW0e{QxyMvy6V)otFTf(RLZH&yp`*Q3|6HS`^?$ABy%$LFH zJ-ZDtf6s1fZ;$icuZR0)&fYP;lCyd|ZN;h`N~^h`r_ma0h{?O*J96!A_&$@dE50{& z$M#<0`LVGV{djEbHB`=fVSn!5S-Z^BU}TD)P@pH@fue z{p5-8Z4*$If5+cjaes|cwCJA)*ux6oM)be}FrX+2fJLUw1E2+V^8j?D*(?M8;J8OX zFg#KIU;|2&KO`<2B?lg0M#(`=`rWeN4Lb4#coAiz4VuH}ri6ynxj`ZuJZ=yuBE$v< z^1`vfftIsI>LE0w$vUyGNir+ELy61-TXAcJX~|ewqup*f zY>Fs(EBWl%MVK1B=iI?d$x?CP5LBvG=()GO&^M;fJM@he+YB9J8InTB*ugLMQd-9` zp{2^jL5QV$TrP`?Xw#u|TwKhaC=-ivX+i;+U7Aq3Gne8egsPA^0q~UVqP_`EfM_(B zF5$}vExM^3EEroV`xC{M%Gm<7*>XB@@g?*D(HJbvg**3;$}v zOt7C!maP*fBP{B~$%VtMwSr7R+zV@fbwR=^MO=`u4d@r(^@IJOHsvimC`>sx2?|pk zn_5vguEg{CW|$w#twhrlC&L}{41Cl0R#--*pY=G15i0B4y^YNqn5NV1vUtip3$P>n zV8TE%gD_L8R)HCbEfGx927(!Wmn?wF&M5QF)Kz(Q-0da`C^PMzm}}LXK3Eh{Fi(J+ zWf;P2s@|+Mn`%1kFdO1l>zRFjeihRqQI?r0vj^Ro9(9<*m=tOL_zXkp>N~@b(GN|( zhl*_eaxS6O#+VC_yfNk`nlY&wF%K{>3NUiNKKJIiiPqG~f*w(XW>3Q>Xt;iQ-lE(F zu7#5n9ikleok~y1kbmD(L=E5fvp{Yh|jq$>c9jr zyxPAA46lxjFI30ri^4GL%y=@?I=$#8{)^Ekh7etHrB7MxNWW(4`r@64==Y5# zj9tuT5Mvh$mcQ3s_V3_zmj^<6_2stwb-$sE>@k6r4QotbJ?-$)D-@f*^okv^h}Q5| ziY_#=5r)XHz0r(D>|J`J`a>GisQxHg;+a>`sz#_du2ekjs{Ewd+xUhh0>-H(GE!D2 z9D9OKBM6@0vuWZoS^c=>vDO4^)2b%F=CrD*4Hg#^DD4TrB@bRKB! z;H?K*r!Ym+n)$jOM&CkR+}pbP4w~3p2L)`$x8^;RzsHRZneQQj?rH-;XANor8xoch z=<6JO1kz#$A3?e1!S)jgeo?gK0Rm$Qo~RAFUv%Yz{1;mOApbQgN3B}kriI>wMDVJDKAbJ$s6N{QOvE(G6X?F4!?S#{`MLk{GJ zVsxlouNWP%JA-3#?&v)asLGR5+HF8L8#-<`QOJ(7N5LWmJE9%|Oew;N0lN%v{Ck>W zDL~nCXx$UIQKIAA+rUrWI_o|H4V31fx-|%Op>7QlWWkZ|b1HD;`$481;l8I*a#21z zl^k=~{SV@((mr)LP@y0W^?(8CbUq*lRyMjpN0F7(OyM2mK?Pj0K;gPgXQy7f)fvGQ z4Uwtt)c%1s4W=>scBrJ(J{(G#z7K~9V5D;QJ)A(27A9Rs2G_J|PmTb9kft7a#HFbx zv@NOa@kdT-dsAY98yJI4LcHkJ(lN~tLe??Mz z?QsTR^iEuE%W;C7lF++a`G2KvKF}5!;D)wo$nRrq8cKjkIn2? zXmo#X2~1<U1Gp)Q;s849KQwwS_Pc76rEa>gpXCVeAZ#?>I0(-7HxBYf`gK@w&^CFvv6xMq)dq7DXB~^& z1mGbXT9%9Wk%e?{T#PTwW(3qyTnzXngmuFLHY&Cp(v6Dk&37Y4fT7rk5e&auv`dFj zB4w!8>yOSJL${$KT)wqXmxqH1Bz-o=4GfX!M+4>Xv-5sAT-eut#K!yP<0>J(@Eopn z{M~W@0SNy0B7-zf*YLIR!|=Km?>GW$Ctr_%YyY;n0MFpF5q91AY{b^8H^apvxh^9M z9x}_x}%G@*25ml-6Dp#LZ{$CZi~x8`aN=rx^2Ss`V&|t4!-H zLfOG{E1zMokM`TZ{iClsyl|cxBQ>2DOi)ci)h1t)P%EdI+}n?OCijj#0j?hNceVzj zF^)BfcNWcY`Piv4Tr{@t4i}B1D*9CUd|XtUd;IV?Q=H!`&J=f*?TPSXelwo;iCvBJ zedO=sd_OD2W8`lMP~`={h*e1pAfU$Vf!`Mnn4k}y113yGVxJZ@kt&zKV_kkW5g+bD z6y!r!X)vK+^n*uom)LgVKI;1~xQ3|W3eKdexR{3}4bNi|a%{q?%Q@>!`X^Z7gxbJY za4anhhT%!v3RW%jQ>X%9J!Uf`PhPkT$y3L6tT5%u)E%+0sZt)E>5cRHsr+nMY-*M6 z+brVKpd5`iybfYF6HA4-=^~Gc6mMpyE zihBNaOOT#_!^PyvN|3B9(GsqUO9;Ns`VxZQ=tTFvCHzN!oLHw9H~cGUTzz&I@k=eK zB}+HH3tH9H1Xl8QH9_mpVn9%Hwiplsn$dahjZnDY`#rmKFqb|k9n8%_d;z6)?iVR( zM!h@c!w?}_?{Wq8Jh<$~IuEX*4GSxgl)+B+iKt$CeL@-2UZ3(*IrgXc3}-ce^T(N$ zsRdl{hz%8J3t}xR+Jd-H4r*BtU+na{OFp88?)+s7Bnh>X0`O%?991vuyh3H=Xc6Ww zl133*;%k#UDpKUfjB*t@DWhCPm-|k%JS>)cEN>bkZ_DfA%Dbe4Ts7nOAOjRv0W?gW zmH#D{=g9C8VKYe+hXa z$3BpKb1`{TBG&nv4is7N(dCe{l}zpAWF=j0IfcTIsCxN>6qR(BPc|UUO22|~7{#@q z?58X%BKsdP5F_0Kg&`FSox~(+WDt@o*6((}$$54D{!X%0TCc)&wT2zni*(;cIM z>taW1xH8Vbv|3|SFi^si7TxmM8ox#WIZ_mWA+VyMuCsh`J4)PlOvj~jXp^0#n8}N=! zVk{BOa7fi_4h)1VCpLs5MYdys!0Kra-KIMODrn_^RwQ7{PcW(W*4 z?HK|iW7$GCt^E-v&7iW!VNPzQ(gUW)j0I{Y2PAgGyt#rz2LzTOv4M(_^VRGOLac?g zb69y`Eo_D~S0B?s2p3g?hl8DiU$6CImhj-Imq2V3Rhub3)x!~f+z#q6c zN|70kj}iiz@m4E7qdc#-CW(%qr%ECsXg&a2tzFzk0c$(fQNVh{dF131nJGaL;pBno z zZM&j3g4xc=j$n2q+QYwqwsWzHv>^_ZoI+$Y3GGHyhX;Xck75E@hOvoY52ra?3Ob1w zJ;>g^L=W-+FVTkF?O(JZ|1k%#avz0_w>%ZGVMd5Mq^Lr%qgRqqtk8s@vlz0$_bj5E zu|4Y{c`Q3pM;{reIK+%;M?1%%UO0g!@jb_=2^AIO;C4*OB2*xO^^izvARq_K^y><;KdN)0vIkw zzz;&=ycC0wcmxE&h|$GIFk-xcB4k$tlLltD6A*#gZLdP0c4q+;NF}6u2z<=azXC%d zy05^HnEz1_t_~Igcx&Wu2a*T&Fad)l|89U`Nsk_2Sg~&k09M2r1JD!lq5t#*74APh zm2db*Pq_j9(X;THzm~F|*YB|Huk{OT>&5*7y8rzUDKQ)zffCrx8jx6@TM}4>!R`;y6Lpe?C)l0%&^>m?4#bD+c@-tg zb9F)#I9#1jwUc*##DuX!2O+2T34hRYej?rm-%zs> zxpo;Wna)E7ix%L_M71@(+7l-_uQvENwEI@pA@r5BGzWDr%K0?ii}HP@j!mpp=u2!h z_UTSlXeegtXX<)eT_`+jx2MvcghP@*mCuAEqPae+b0qOCH}u;n2qFqp~+u z-)?SDbvy!lAh}`39!RN6Y~Eq};$5?vd~kL`s>A)`yi^z5EW>@ruszaszifkaMqt|@ zgZI%E$&k9H2Qukwn?k3(Iu{qwtjdL}=SW~2vspHUs(ZKl_9)`* z=~+iwf1>qWInn5Dc8*Vr_Xdlk1a-uMDS_6oU`k%QZ|c2Kx_TUwuYtK!I&FEQAIJgR zzz3qdb+PZ5g2SY|+UvSMoPDYT{Y<56|D)Jz2OT>f%R$Ex<8vT7I?i70C$QUB{t2j| z{na@a$7HFYE+r3YevwR^TIkx#HzR-y|puU&t`D{n^jlVBq*Aw`; zF?t%R=Si2woFk*8F$v2kX-eh2AVc@n?6BTH^XK!`Xi?Qpboy;u^+n<^G+KFj41rcA zArkzSHuUViCGDt!Nm?&$z5z_C#7_Gw^qG8DI#wBzp6=QNgP1JzgU+59eWJ6;iO7Dq zHLU2#F?s6^-98?AMt2PJDNZ3eYMl*piqivnc}#VSUZo7(qEBVvgnmB#EU&3Zo2{;q zO*I7it1VkOnE(cU9KX@hm+jR2?N;8HX`vNQz29ra(}ZSQ0b`$*w2k4G+#@rof6{WA zfP{=L;8p2wPZ{qlPnq2P8}_r zsmiDa>2J+h37_u&Y{4>~nwo#pohj6x=@rHO`k%~nzy7~j*w_EhY>QpyQ6+Pkc>vm6 zW-*m9hgl2|&5=3XC2GjL&F!9>BPw7PvnJr0W%IfdRhdPZf6Qcw1#LF~u?61^KxOg@ z(>$^KT?Py$-LzTw`K3avJpU*UD`$0uaDhxj!OY1ZWGXC$qM3r60}>`bmnnit&t)KI zGA$RXQ4%(syOe}Y8|(a@yFklCTPZLwk$G1BOmLn7GZUQG`9PkGt2N12 z3d8P=TwYyf_Lf(dlbji|{G5Ljfcb21QV<})$QLY` zGg3BfRmcU$TwBPRkNXB$pDlP ziPoR?c@sz(x5|Vo6V|z77Tr|hudf|&DUdAgv2-MbyO%0S<^dUe`M@;~&(x(wZ1t+c z-D(ofxlRBUAf(RBKxGTzb%zsQ7-Gq*o%|9) zR2`k}%N=6tDyIp>M3;9t!$pUL+9&N-ASBn>&T>A90w1p^qQK|pg-G%FiYHQhJr#)v zU*~&iyiy3M_Nx@gul*{M;A?jmU3r9qU(X?7;x|=vUZb>@N+tUa6}6=+1t&trzg_KI zKOh3GwOnmA5&{9KSyFU0LSwD$dS?=NLSuoEg3wZv&PKEp_{p>S^S$PE^EE;s1nG1I zOXw=?f9=3b zjp(5!7w-urj|}2MGeLt8%ohfUwytr9`W6PBcq(9xTAgB8Bka|Sr=BQ0ChR@)GgOJ36to*!In%hLDtYj3Fd(6=PfM_hWr= z!*-XWba~Jn(Ra+Irx_g~Pb^3SY{r^0&wNO0usD zzLGqCcCWGq*8aQej@SOix?}yh`zd!T?_>QbN$1uV&(Bp)PqBD=%KEO|%VwU#Pk9dC zy4%@Gs`}9llU=)!ZM~3gWJh14tJ!ht(Pnm)O|^DovWIWm_}uxMy!0mJQLnH=c?kP> zRbRWQxoI|g)hfD^Ie1|Js?EsZ1pn(D9Kn&!-h@$YUV$YT)7@JfN{$OKhnVB0f555Q z0tV2xB&P=SEiXU{!8>`kgU*@$&meN9_c4f^i@y~FwcdsTh70EF0p$W8dO*1ZKmUIp zx^4L%m;bB%$>l!2er{*JnQuPcr|4&{m#6+wdkDVb3O0vJ=z`6OD>fD&fvN;Jo>Yk; znkp%@om9~YZ6{kiS9b6|g%T11&ZqroO`rNiWpl}HD%qbJfq`j|+9DzKy& zxboSurIna2lX%XhBy^>7FDb?%j9N_e3d83Yy~315Mz=6=fzd6@TUc~fE$q&sN?zx* z@RHA2ETrUyO+BTn3wRfE*tIiGAC3@&QQku?&U zLS%yY*8!OznaM^vvK^`6s2o5tc6?pr_<&s>Br?E|_68xjhJ~CaO|IFpNsueZXA|wWY17>i5%e7ti!#PTK3lhOl8>lpoa9&Ux1L*~0Q)qm z#Ry|2|C<5EE3jr^?Y9E~_s(ro=)H6M;7@Nt<7j!b6kgA@$~&Y;d!^?XG5FpBEepCR z;ueK2iq=w%kfKHpBcxcmkEKPoy8{nf*3iMNmf=lss}*9HVN;Ub+G6gRQnZ+R2Zy@t z-YAW3=R*buj$+*Xf+KCzJPfYVH)n&cbla?X_E`f3>)JHt9Ry`(mIhkcV6lN#uARZG z9#lI=4&~ME&FW#o_|ACfD%wmRK8%1#*zibU61Fup{j3r?L_e#{4v$1CvxAw^qf`aZ zrz4v9K2&+C!ek#iG=a7q9jElRs&6;Fs%mjwuc{W++dgo)aq4;1-MNiFZtNkbfoo3{ zYT&N!feKv^kMyuwZqvr_v>~U_I8C($g}N%7>PEGp4%=u@>SD-@SA!lhqg5LpacW`EPwa2Du|^oEZ?weoRzN2S zCh;xOe|2>8Y0Cks)9(Nj>e$=Uo(+c-H8m(E`F8_~NllZ2?12sznoRqXHn7(b^opNTt_u~ zjOuR9PCn}u7!FO1UT?aS0@a%ys?{3v3G`%br?9}JuJrhD0ZzRDxN9jzeik4~ai0r_ zQcCp*w4Xzt)m+L{3xI7zQ{LLpw>1ce_4UXDV*RRC0QbuP^y*DT;#ZC7pK76IsqAY2 z%u!cO0BO`D1V9??s-~JpE48WS(VNHsn!5cD03KsBplS{Nf3Awu_g(+b*i;EsXh>I%p^s3Z<>7=GFrElEJ zMrj&X`d6C9_s1#R?=_(cKaKv>PiKfF`V$!JFZ=|CRs266YnaYy;3IEVE%F_(_+9jXy{oOZa(uMVPOxui-i~nrKN;=akl&?Ay z0N|_6Y}r)kW`;S+egONtUwjs3sX{c{pIufn@BI0k%ma`!1QK6*?&h*ZB*Sd57{~w{$c-|ymBVDg31@N!bMhE*tC@(%Ao$)*W4sSJohnO?k)1s>gKqB=FRWuCjz|?5iSC!syDlIf)lmZarahaEXf@SM&h0NA`#9?lj>^rck)-k}0Gvy=1_V zOMg(K79_veL`;r+RZQ_=@t&zp=0lyi5~WK!UGI_Arw@XNEtPMH%B=Rjr= z_&Jaz1bYr-J+lfZFL(l(J0YKmnBVRQ^DLeye>;t|`Rr~BFPLfgpCuY`Z)u#`vO z5>QNd>yyI}?vw{59ZnVE?uY3z_C5Fa7gv~OP~IUt)GC!q4z((D>qC5{`u`ALRcgPe z{#UiQZ2+fcZXUoxgBu1=)k`e~YX4GwY7MAVpL+INsG{zG6RHN4i|>!?sFHoEg#bF()4^T+)7f6u&`z{xg4k`M zh#JLl_UNtGcuo@3f-?D|$Dm98Mil++zF-=pXEGRTZpR2VL6}@IaBvQ?TEszir50j@ zU8&{lZx?Dg``B6cXbd?(2nvK`WDGFQPQI^G#9l)9{UcpWw7p3K6NYrsz~mu6U~9ig zXBQ#&p_6hQVO!f(hcVZ7)k(Ip?H-=aCMhhi_57#KCN8!RnjXVMgdSpLQ=v=O*;E+z ztHh3_pWyh0$t~#Db=%~!zA*ok#slkbE+HbXdaBfMyXX>k#m09Zss zG(;8=vAjD0HiVqLfnGVj#b2BiDZJP0F+1;QSh##WzYe@*_K^WEm}R6jl6aSE*Q(c; z3*5Z+jFPFYwKp~|Og9P}Gt-UIYs`xq6CPdj?+90!VpKGqxQ(Y*hi5nL3%LeOknyh0 z_h@2FG+N?VxpGYf->+QLjLnQZhI~@& z%&#ld{g^KPUU8oeD;ai7{%n03vzI$o6M$Ikt^~quU>SjM^Sw&IzId+^xMher1vWM= ztFM5G^fxxdPB&>@gkTw4K)qkuUvE{H_P50F-5*E4BgPS$CE^+^k}xe;Akn<&EGTDf^~Go*TWhU#Ce}hM7W5xOC0duzoj5ADPMbD=t)FJiSL-7#^X;#r z2+c_(HW8(4L1J-2HcR8?xy_WYd2UNJd>;H;Tc-(07+E7XiB3|ELXzervXjK5i0mX| z4mor?qz-cgfbS6XNE-GBDM)V8M+#ChYwVw0lB(H~%n>8vlgeZ}>j0&FQnTc0j}eQs zChu7eco3dyC2t_o9As$5BLW#r(TG4M?PSxENjr#O_ngenD4+`8n~2P%Ogrp|lx6w= z9Y>=)sSuthTgrE=jZO|yy=`=SN-=GLtCLWM)MnG+j@+a^xFgR~)n+;c`JDP7p}wYC z8nwRlLq5`sjc{fPH6_wCD6F@%Y;6=eErCi{tI(6t+B!GAEi$gG}&SH=eBAwUhg^+5M)gXjAWLb%R88IKX`1C+fKx zmX{nI7#haWXXw~4$lXRyq;nT+2Oc^zB#pr>e8p4s+ z;TF)+lfeQM{gPe{o_uDQfrMTSQoyH|`4;f$!@x7N{!HHnS$;LxfJ$HU_aJ~j07X#p zHv$0w{|5m01O`~30f9jb2!rzA3~nF>3;`HW0nJbbR3P{t0#q;%82~Y?{`9{Lt6%*G z!z^U~!3g?^z6wl6)^7tJANA9~V>JB?@%;%tDXd8BuNY$y?)ymo_wim4MjCloq<#x; z6scgx8%0^S{B{#TmbuQPd&jSR;ohk0gWF5uv0|vRf0ii}i=Socy;$dlDAVmVDCm1} zbFoL!*+IJ)}+Y+6>{bJ~{`44C$1N5iBQYb(d^H8QYtXN+_< z+!-T#6lUe}q`uyfO_Wa!7G;>f6Jx?3=){=%4Y6SPj|MDQ!8^3NvF#50x}%;Qc38~A zEgHuOv!vC6j@9FZ_>6o)xp8>#Bb=P5aE)q9F@ z$*EdTHB&BhTTQC1@{i}V-|BHCpriBZqKqGlvsSOi;yf)~nZ_@gZJD?;fzV0~R#G%q zgYeWtPjEEYqVZHhdvGq-JX>%=1Ev;K(-Sof)dIzEzg?r2EAGS+3(XZ@Cq8PcbqepE zCmy$HcKGiuQB`fd6I4=LlEPgD*(R?LlYG*qygDNPqKCWJX$6@~D5^=+DMCO=buoER zQr(g_w+@VWLK7kkH-p0J0pYwQp4O;tmZ#Rco8>u;SnhdF!;xz#$%`6EeY+0V4?K89 z!y`)9f-LGU(Yh{P*FYNr(Mny{%9|VVweqg|cMZI=VO;|ss2{Q>&G@*iP8;D;zle7_ zBKbxs6T-DoTsjhN6eKPj_;THrk?G1e>j_D_Sj67gNq(3%zKcMNjWB8?8M!wEi5Y`Y$3Ri->#%3oxuVEA@XlodS zIk|YzawWhifLFm>Jt%6x!rfNXs!v*QRs-=3|E*bk=8WSOkblWhE1B zVbN|ydp^g^NREseO$1g1k%xjJLgb-146jH9D&i_)LBqfb zO_TQr26Y6Q<3k-oIC`&0?)bvHP@#Q!Efi5uUJDCamOENqc)h99(mr(PtU`V7MRn&9 z#%K$5%YKoMj@8rfblL zU5XK6I1n5S0`$19ALEhP&R%UJ7<-yc|!2NV5cjlfWU zud0qR0JyQkOO*l6ZL1#C71*kih6Rpwt+LgW1_O09rP;vcx`dkFxN`MM=lsuBRtgjk zVzz6agK9-V?x3t0kUJRj0p}(%5S0&WT88E$b_XGOo81ya-ezyh1VRUYZG+Guo`*SR zZOQaJQ+w+K_z$(E0G>m&E`jIJ&8-}wE@Wly;{=NrY=#M^w25KEZk7Nk0?k}ePqH;v z)CW+`g`B>ATF3BaON%JGMiAR=Kp>uzxnP3<`4PdDt#%|VV2~W~jQ}J^diG``xis?G zNN#55`Q0dTKl^f9O9 z)ify1iJ2k!)0FQkrS z?X;gYjp)d@V@MU08aw-krOM7$5vf{eFy@b&9<*g_RqXT|Ta}WMXUGmd7Bo+?Pbqq&<^SqklrZ&#boXMePU_vyJzUi zY@!Y$wt8pBh^j zsNKX$CHhz$CqA(@c%OD|_wA>h$Nf|*)qNtT?EQq@5`DjWtVG^#>pM~O_eV*D;(Y*V zrUp!i6mI}NL_(H;9F`CV^aCZR1tF0J{6M_`0SNy0p9C^c8aaUsyncVb3w4m+@4_97 z_q#~rEqNIXO&Z@MOW(PNMI(9DSyRV7a@16dyYCPA+l>cOwD;fs;u;!#rrF~}A8NKG zMJ9*gO~j1#1*qTHI6Zylu@B0r&=7~K}dC-G@ULHRpr&tM%9*wb2v3jVa# zB>`=>ldY|v+`3R0?W89nHva4J^@0DV)Nw~#g+EnfRj)*tHr~q{4Bt+jK8R?Ft2G|m zhM5knPvpZN-ktW->lii;o@!Nny@~NkKZUzi&`;q!JkFs02#+)N5Wc4O~(+?^f zZH8IULIxx(HLn5Q0=Qc24MNyaev%PZX49aB<=H1m_kYXI@%`UwIIQUm_SVHo5_)e! z-(1|Y(6)6{ev_PAjd{|Ll^Qxr79biP^lUk!ESFS)zN5&a|blq5yUEuc=#9e zuI`8iyYoAIp^BZ64Z&D;Auqh0+-dc5hj%(=sz0N&^Dxh723yQ3t-GW?kA5)2)QeFD zgS~nYv0J^Xm#E0@rrnK+m)zzB{Utl@!C-awzrbpryACS88Dbotfz4cxmcd;|Ac4PEbjx)RrW-)f1PG=%}TMohu}K^yXO z%>%wxP@sT!fUD(N9>CR6U8gxfpuW{n)dbu$9VFDc23I}+Y0EXe>IV~LRk`Uq1XX@U z{WZ#7tBQN254n!vw?mI2DvuJGb$_cstp(Z}G^eyIzDSb=e{SfXpSu!8K9p=~v z7{Kj0S0`%+&($eLK&4;J6-8;>2ardl_7c;VZe!9+1kzjjkc#b{4(PyJmcaDvD zky<-XRs`1eJbH$GJ6WFMJi(koyUypc(5#n7^w`Gz0J4e=@K6P7{VxPe*U=N?p%3K7 zc$kBZF2lsBO^x$}08fe7Kmj-I)gDt+y`CzNu zx;r#o+u#n(NFEsd%VlQr?6$dyJiIMe5=!fv9fndn4B1Ed${$z8u#>JEavta7H?mhR zXO8&QGjF+US6xlcH5gY42@U$%LPA44bgt~$SP1&Hhb|q$pR7H11r{M&(UU#9!4Uk^ z?z79Z1leGSZ=|Ih;+vRN2lvLG>A}4jS(c9M-z@-lXLX>Q_gNhf=WKp_jE+?nT<{xqE*3d+t5}*R*wF_Z|3O3G;Kr zSE;)lt}VYhJ@i0@MDPt$*~libG!1Jblu){Pt?`lSR&&{$w61ioK#aiM($3St75SuMTtO z5#M+9f1Ze2ou4NnSM%ropjh~Y&3Hupz#pz^zf}0I@-O9CBFx);%?R_ZrsExbg7-E< zUM$>%&-eP~Z_8s>oN4;zULC`HxG8!QANJkC$;X=PH|QD5$K-k4uUNs~_mzanPx>LR z!Hbu@iTC#3wA_ER4-9=@YW!aAxBF@n;iFJ|-`xU+z zRewVWMg`w%w4U=%^~t6D+n-_Z`k~+VtbZkP8r5I^n@Zew|DF>2GfyE{{K{Vm7QbzH zpW+|+LnH3jkYcg?ZNC>({@l<9sXzOdPw*cF)}r{Ak1VkNBY#;$|1l62&VTr?h4Vii zY9RTK|NjX5KY$r*0QO)44uAreGZwF2w!P|j{4!rK*0n)E~ zIL-te92&iFCWG|Q=@lVFTVK6!2W4IyqO^(UgD6m|?ZA0@XtOW{9y0*6gl*3ZIa>9K zfUii>`e4{2rwbT{u;~J(E`>*j3b1%tAO<*S$?)Rd(+DhrjY}LwQ$=%!Ur6OXp-WS_ z2S^pQnkB-4)>HuJkT(^;UBq+eFspIgZgha33mCnVN8?2@sGTAZ#Pud01c9cY81^;T zxqzm?uOT7_v`Z$4pOe&($N_};O0k&7P;UAxSEN%w@ zU(wiiiW9WHuQa9dYg}PviU7MjU(mrH22z8og9mu>7$sPcK}Z)9yf#FYx3QsNJg@rS ziNL1Ipex}p_oFO+|G-sLVjrUI#AvRg2V4_uQpEsgEfy(Rkl`!OYeH(Wju6phRq8SM_&yk`0l#j4bX)5I z<}fx|7~Kwg5?c;6CySz6mKo3`TnZ&Z z5`16})EQE<)JN;wQ8sE$b6l<|MU7q{8)y)$rlp`d>=4P% znyiX}TKFP#+(x7_&DxP*B79Lmu-!zpE?(9c@%k>YpN*=CItSe^11%%E$n&VBRwUNR zLHI~z#t~N|JP=S7a8K3%mBZqsfJJZHOwPUk{38DgTRsT+)&dznm_L$(L531bBb+~I zdXsb#hFHtqJbn%_3Qy`7gWZ@(U-a@qF%5M%1K~(m^1$3;q+if6DLklauBgw2200QT zcpgm(AB34oaW`09k<(fe0`-`~YShh}1dCdS7GE^-iM;V*zY#qjM;%~ihG@bvF`%VL zuAXp!)?&WoMv`2yvx-O#)Q4QLdpXv(Ead@dx7?FXJ&;ct+M?^LI-M`s$C4yWQRG)W zWWQ3gl`w=7Hs|#y`7B(J7sVXe|{G7V+dZc zDVhMfl(J3p1Yu)Bn-^H3MK4~UDicS7MU?k7zo`m}Vg8)Uu&@>guS`dy-G)F4th9%? zsvr*As0;<@T%gq-2Oos%kQ*!#J`QQq&!HwBx8J9~jo?2;vjCwXLm*eiY(+v`yoeTye#LuqDyZ`$QK@R^*WRz3@W{3RxTCISgab!EMron8^(l4sDuVu{j_Uf^y)}z zghM^rQie^yf)8w_8q2amtC#CPglSfNJ>zVzAY>@fJR>GGI1!i#SIft7tm2?t!m6yP zSLrU<4U}GoCJat}9GLM313yF6fm6InEwxc-dc}7ZO6wLY)-hyNPzWL)@4LEKpS{H7 z!)7Z73cg0&Gs8 zV7eU6JM~r!h+wol<;3#sQ05Cg%RZrC8z?R+DX zoVTbgt~LpEfEv;uklF!-n=>&XlKNcLFfTnaC;VKMMNiXHBNP>0I|*xOLwcZ9{)d|o zG3!=n2c*=Cu1aP&_jhdk^-g#t+P$nFB^dCp8pe{r8^YYyFzZ1_&;-i3;I+jO6pErGGks;wngw+P?~k(Ni$5l z-!%92jgD=0FH}0Y8=h(_TaUYs*?*u-KIf(=GI*I9jW1@9FCV5EgGXCd3f9=UI=8&9 z?y;NL&qY^;Y;c3DFGD}xn+`61_2{`x+R6~}Y-2#{%^tld9ZY_7M?C)IwNdxw1bu_t znSDyG*L^d&drbviUHSA4o@M?d+|5kpm)+b}--U5DfZQa}jsd)hdpsS`N}1gM0eLwB zcX-e^3Ov4danr|U)9D)zK2z*K)afXWO|P%BAljU{jGBm8^Dw+LYNQHwVz{Bq?|ttw zp8yk5C`q)uN5F1F&?}byMs|@@jGZMKUq*XaPEgTrTNHi?r+Ywks^nq@k^4R<8zs1Z zjdXTVuOYaJZuJz$J+*;vl(pspF?{D{7JfXD25#CIef+APIM(hWqv=^3lybMKL1Z5@ z6YofRqUp{&v&~OzUg_wfJvO;*Fw1p56B4=p-uO-+zD3m;G|h9z7S}{GdA-z|$+M|F zQv$#9eLrl)1mwb%fh+J$A6xj@N3FH6t9Hz22+g>mEj|_CxNSKa8Pd~Nu=+}D%kxlg zUbgy-;5ahJ^6B<m}c3&owJA$N8^5iwY$5gnkZ+qs`2ByDWr29NT`-|YT$zanNWgtN`F zVp5|wO!Gw|nljFvj&lcXhp|Z45N#4gMzCP*_LDC}8Yz&JyJ`-Bd&Id?T*$r#-gl#} z4~cb*S0J3c3t@@jimi|cIM~#Ix`ZX#1}=01s(m?e2CwjPUtB$i$HLqCzEr&|)$%0r z#C9gF#h#_`;Jo+{@!Yl9dXWQTbe6YBAG=h%mb7QDTbk08rd*{>n%6BKP1SdOx%SVA z+E&sn9jsw4N=Q%lG+iq;(q1f&mA#6Ka8~%}FVDUr<=|AN)<>P=d{jo2ihj&cWd)xc z#G2@XnWvt?K1Ip`Y}zOcbAR>GiK zLlY}8XsL`f9!I1x>IOgM*O2wUC2*0`%j&woL{l5?o0%`>QKtz3qHUI3rIGairE|(* zaADnBq-I%DmW{^_x$ZzSEloTi@@AL`#?G>c%kNC^c()0;jahl3d?y4U5z%iYMeV8O5F*u`qFHj{!&smI^8^88$8V8;R&r8v&$=U=bIe6b*702 z9TWJ_a0G*oRl_cLulA??$FRI74N=T^jusuX`lnqMPNpr^nF@>Z7`8Td+nm77pDt+> zmRh#q3yT3cc8d-}grHY>?IKJ=cgM$q3{R~*%ww?Q&dF%34pEI2Di1m~g)13Bo3Yd3 zUmXQtQ}qZg@R7DL_FFV*z*=uT%U_5ZJB^W;TRX3CnS=~9_2f=B0?q!#*=saWYH>3Nw>%5UqqBB3^ za0JhY-l0ev(#6bVU+`uJ>I zQQAU1-lb{{E5`M>L2_b#_6(9x|JLBS^nIm9fxHd_dN zyFRPN5htR{wAqKcZ%u0psN*8-=&PL%ry29~Zl}xovuJ5)A@J1Ds_Qt(1NIOwqV5<~ zbKHCUe1+bOeBdEs9W|SC&131hJd}j9M#vj?6K$HWb=oLK=98qLdCbu2={wYcU^-A{ zG@4IW7HUsMb>=HRfUzg{Ro|ZZtJNbsd+WXtqmAl!WE|uwMD}7x_zbwk?Rt!c{%n2De6)fLLo0{@vv2BSv&~dGL(^HjNju9 z7Gw+Xd&WDCj=@`NNGe-Hc&)ZP!}FVA(~JK!FWs zHHU0a%=6cqdluS;7K%0X(6-5!a6wDG?>Y&Ra>4OwTtb;Odl8XNu{2pYGysxBg35lD z3}QkFV(TInCRPFl-jSMu^)SksOri^=MK1qh0}(1-OsdhU0)-xU(^CpiS);oaiDO^Z z^tq{CGhN@IAMig<10*eyCaa`o6nH5)-5hDb;Yg+@{0CveOm@)8tJnd4P2un@lthBZ zIZ+>^rxX;sGM+=xZ|?YsuiUfh;d+1QfVm*`rp8N`EV&R;m@jNC%VyZMmQ;`|Y1@a=dhM7>{7qaeS|X{NWSmE*tsqNid&nIhg5f^!x3P3f$Hc)deRb-Cdu4!##V(w{>D3`CI^{I%Kqp z4W^TKJ{N1ZJvpUf9!Xch-Mt=OTi~-FtS^hYTVzEaqk^Ze08hIe5d7Q?;FPOI=RHth z1*C)`Wr5BR1@02As;lBWM#w*l#BQS$GcBQsu(524%Me>LDI)Oyltj?$l9H6jVZC^W zb(%~E0_p59qxf#iJWmx2A@Mk_1a+}Fsn?Cb-+>(LONf*ojqe=>F#o`KF@p_axmj#a zPGJbYs?y4K9E32LK85kd?ONxVjX$}csLwcjkDn>|1u`-sOsarG$4t}UtUPI7n1Ef0 zG4*ss!K#5>A2F!qQoCQrrScXS5eWO4x6oisWrUlI3eDceFzf`UP`u`5HOjU#i%>yg z8LQ}7F=UseNJ+01we3=vv71tRcpia)%`OQmEJ{;Vm+lDU;2s>j!n4q_1mYFrp{4oB z_*-c2=oY@WuxYxS83d7-w79hivY9j8S|HmvXl%y&lx0v>=ZriwF|%|MVIX=aKB#m_ zmgs1>0g>LFAGox&++5ihOkUQoazXBdD&Q_gM5=Ug`BnmVKl?JJlzy<$*zEoUH%G9W zIN5`Uvye(Wu{6GJwl;$%I!GRxGFXh4O2XendRkuvfY~+;B`iTLAx@AjmFN(KV_F!x zP|tCGft+#)xJwjawISBK8Dvf>l8woAFE zgKu-HHwMG6*4;~~YD+beI@{4_l*$qZuM|sLT_MG)&6gN{Y?p7v6Mm!hzbYc#fh=o% zw3)7ZL`q@LGwMJCf1X)Y+@o!(b*41&vg)@E%}BHLjaruCkL>Z#Kw3D`=!`aGM6Pr% zA7Nq9Zm@%!dWcf9Vu{d!P3p%)>YVusTIR>!zh+nuu~wL1zMSJ$CscBoenr>btO2{) zNJNhg>WC3W(d66&+~!tub-tr(&er6ukx3K@1kZ?QDs45eY^w}aMay!xaG=q8nfjn` zPkIOmGs@%0+c%mKZG}i=3AaYCDRU?C^=;)Um^_AcXeaSBBDuL%OOV9swM}B1dnk`2 zT^c3RJMWEFQ>L23?r^GtG91mQ+(ZeZZPj7Q(=+aeD66_Hk0b)XfoT^H4cJINoB|(ksG`yY4#}(u)WC zuVg8mxiN1jaOhA=822C5SqM{b2Q(z#il4< z9?sAEBfC%O(t-AF9gqngs<|elDnmv+>WEgybg!fvWn0CR(hz4NS-BQ3V8r8gdK(n8 zoy6BB(hM)p%ShBX=hY=xa(~Z$G@lfyPnLPbpMATV_C9;X67zhyr(aV*$kVA)q zn%7I#rEXfsP37OMYobwQGre1e7gL^!sV2&Nnq|1;xvjZ#I*h1PU`S zlwqt#L6HSH|72G8AjnBp+8#posp^a^&{Vi=qpF)1)}N`2q#YE_cD0S5vj2hzFpGY&|EE-8xkVp^ps3|1IP;P%fWg2L;-wI%xn%jf^v;apN?Q#cY+F}#g zP~v%9JhG&IhMVt#DhceZ2{@tas%mF}4!tt2?Y%`&3l(Kes%;-3Xtbe;S$5nJVze}w zo~+MMhmIQ0DVfl4lPZaQM=Z3hA09z_HOF|FfuzSHwi%zFP0~zIWcZzezQv{Vz$Ojv zZi>vl&L~6~{Rp!mbeFMcIz+NwQJ4?eL}6+RR84Quap!4sA%DknC#KXDyNsEPR_i51 zemp1?T@NdYQ24@kO5oatRyZb2bE@xH4~5Qi z&_J|CX?X+xjxNasVV)4o(OV&Fe_cC*zg2VPSOMd*QS^`NlN0+ubcY_WL9Wd*04Lw` zvhL$m%HUJu3jD%l%4UnBMZ)4k-3kqrTKx^(JfH`&fp5T{v zcXamq<~@#6+dgWs>s6I~P_L*5?Z%BUGlRA#JZ=#}FIYBMeeEeb|2^is&+hTePR66R z*KS?;P6Os*iEOL=={luXPshzGpSfUfZ7bLWE`FN%X6m!X*;}F+o!;GMu3%u#utw!P z&K>??2Orlt4d*A4mS{gv0dtYZC+QhCzZ$`{4& zSK!I=f{&qG&6!@=@Xt8hPf3Y;*OY|Vw?NK-9Vm^}^Axd-%!i4E!T{?8g+YZD_-5ugs?Ynx2f-9715OF}akc4hy zE&@=Oc?od=y*GNJ`sf2u;ASLrv(ycP(~gk}>Ew)q%taGZ*EdT!B1?Ym>1g~pOJ)A; zHxab?t78&75b()3vQd(#T@c9zIvqk0p{XkISRBR1M(z-UKstqef_!{hcYX%A0um2o zj=3Rh5`$ey(HKvEa_|k9+D*eE2QM|e_#ChxJHZqdL_OJDND(nMwrYa1LRJxMh-g@X zEy<|t4JW2gM9DyY*O7RgKtrP6A;<}gWSp&l%Q3rb0x%6ptw25;j5!NfO1VTQfOAA{ zwAm%^v&|6JR3Ii{6f38$Lx$OV^`O;g}1D z4jhI&7|_GM5S}C>12(rMV0Xm^)l2ON`**9{XXi!g67&VII(E#=#EJaGzmPBSZ43iT&LJQiBXBUBn ztVlzo7l+1^B67WV07ZcMRB}Gdz(K&XAc_LbP?9TEsrs>G{%j6CF$}yI)eRm==)2)sb6#<#qa~``rmgfoJF`=Sc|y$wfF{?$}cl z#juc#5}~Mf39vcUEt=^Ae%Ab3Yu1`c)UrV}K~-Ar25{>N$stD$nqhTDH>&T6O=zKxwH2$m!yo?@qCfWmI7#I zzl?Oq1(17Io=@ouKDyO~7#2$%Cm8O-(+U!7rxu1TF&i6*MAoW`Xit2kF}=g3H^p{S zO$5WmN-gm<#fT6;(nZ3Be$~hml#g8|He!#z9iGda@vwBF8)@jKhG=pn+;*^Xfn}Ny zy2IgXJnY zH}@da8sa$w%9n~nn*#{Ly4!dG8{LA1EBKXCkZ!{AP>m140v7Uia?D$|=hgH)6P%)#?b zvw&Ky=ukA1L#cRD0}a^Zk^n6I8LO}x%*O6s7C=H&wkY@q@pRRtV`wTN7@UI5B$=uu zQik~8LaWTVVvUgdNu_LhtsBL}UovB(*Y4ELQI_JW!Y;#A;UQ{Wuy5{EW+A8P;<2gl z#S`a34*8ii-21XX#z1$D`sNsQm3Y1E57KNVxrft}Nd!7C(3gRN)e8bQxGbxj71bgv zGHQ>QQ)lz@kFCr3u8drt$G9WYJ|RS^C%y3fK$xQm=D_67peuDmcW(WwwS<05w0>ht zf{-1}j4*laijfAAsPrGbwIU>H-MSXc4bH@NsLB@Aykh5=uvPrkSEC(q3z5Ayw!A3_ zmxE0++TCkxCJvXz7`j=8=?$RI4tz`OJZQTO;ZNWa*mf8GRH0g)KbA;x^gjbjSgcWZ zD~LC4rezv89r-ue*<4POCOK<3*KS8B08Zp8aI`p(QIZ(px-IsQt9;ayE4cyjlucuO zX6#jsus(T1D-90D5R_h4eL*M?LcgR%%Nfq$IoT#8#(nA^#+1MwM{D3!h1t~}9omwC zD-EaT%ihmpKClTmvAZcoWWI*^$x%f|5PUDxC^1_G5ZIReRg|adzLbL#&wYQXc%%g& z#nvu3CZM4n#L71RGY2r($}+S~0y51NE8bJhr-{}Fn8YFTKi#XMpDUt}Nns~v z&N2op*Or%Jo^tn9j}{%(wS%(2hy(%S%ol4rSfW5gZ4`uB83t$_a4t8q^0cg<6h2ri z-%VqW&GrGkl8|3y=}^#Vimti*uvWe>V7PJ?ImJuNHh&ota(kefAYF+yU@eU4v`1z= zyG08QSY9)v@-i?ygu~56Y9CBxk*eu{t&698NYW>`Yp-e@^TIhKTgZNUr5fEtQqV|! zJ?~jA_F?o(<>K9WzoUw?%EJY+!#4`_QzukE$p=}-U>5R-`GfabFh;;%XTh*x2svSD z{_F&+Ph&zTx*A7m_#;41ivgX|O+_ulLxrrBAUgSRV5it-b73};JLI?7rVdUIRcKSF z>g0CO-mhD6M-8Y8X?YDd@3z+;s%_>256KA`Ozy;)fYLgkz-jo ztIZq=Xe?DOj>!Z(h$&P;jt}Clp43E?Q`&bwp&VCa7WxmHt?8HeoTe*@o`emIwlx@% zLjlj$8p;CFf@vIoXAZAxz2s!lk5=C$czbsz>Um4gA5I%EjG2(${A%{~LzbyO8*Y}-kOlSz?NQc4sr z?+h&jB43h7l93qwB{O_K>z41vNa_us0fec0p8tdVMNaOoU>hgCh_ax$F&t zD9N*^;uJgv`UOtG#lPq8#E(c?DXYu|%Ibt9(~mn8V}c65hxa)bOm8n-8%il9z;%tW zRzs31(sG7Sc;G9vm6SGSt>>Z@d)tY)C5vdVBa?%57Mt)iNCk3uVGj-XLhlqn=tP#6 zf8`(GZY$Uwp;c#H0<%|sYX_$ z%hwJ6eYaNEZs++7b(r-g!l4_arx?g1l2()hrQP!b3;vS^C4AP41nRvb=ggI&_4pOj z=J~hks;_+_#RlYd$pl1Nt8g{;0yi)l#z&Ino-uDmpDt>xoS^nBbU|{)MTmd!V9(nF ze882DAr}I8*{{q!@zXp<_onq;Vw~z4j%@Hzw1@j)G7*&QL zWGW|h_=smbSK9WAvtFr!pp#}*kB6`I(uqwgb0b>0yE>r=N5taRlPCvmCYGK0FZt^R zY8L)2XfbKKz`W0P#{xHY)y*?@v8Y#f@@$KqZifhuI5?PsReKPg8`SIrO)nO{0x^+1 zP3bOHd-1m;+sJF)8ZkL}I=YRqRq|0ZPGXD>VL?R)8TLxurzJSb9NwTjyl+B|9N7hH zss-9A(Yzc^$_KGNE)R%gpOg)lTi<^zS7z8H-fz!!gU>G^&C#zo5uXr;0xySEMq)^NyV9p3L`zEHoS>Rm|fo#0Gi;$CQ{A4 z3IETI5`aO!8kV~mkJY{b3CNU8=sP8eM%W`QNWNTdRiNuX)KZMRRaHYrWKrb)>QWIPCdevWob8n+VrKI+OyHby+QlJAuF=iO%~=QOrUlZrfyA| zsRyEXCR8iU@%?{v2$(lONO0_C$#_gPdOwk2=Nw4b&L39g^+8b z;-!lkC2h~*?nXQcbU-c|p!)GNNeRe>8TPgN3%8F3TVCUU$|I%XI8pKu!@hrjLE*f>zz@K=4d7hX$=#ng~36rv@W&>C31v zZIQxp+aR*>a2=%R=Xq~xFb0X)Mb-V0{=AI3i`?^|93LfX z8ELD5(B|iwf=lzhz2j%;=G?w}s`Fyh7HE1CfICRowTn7{DZ9kn)raImsdb~bbw|3_ zQp>ZOFu3vY%zvn?wr}ElurpxcOA|x4mJ5g{1=rW92J`IOXD=S8MwD;ghdDq1O_m?F z2n2<{*W{fHlMLyVLadh$z!qJTr| zE(WcBm=fAyD5g4NN-I-yBFwGcUNPN~-;F3^Mhj2B>V7}zt!&ypVQAs|yG%uh`5WEa8qaAnR57xZR)Es(5ud<#YzT`(|AH3aOE6k=ex=-i`QGQM#xP!Xs zd!uGz4;jNaAIFHQzb#-fM|#%9@=Ia3kK5O|XWeV&lQA&Bf=}Y~XfYXP?5g*x8MQ_y z*@egoUr!~tR=#gG6Z@fSdT|AAgi5hZy)l=;t#`0)c10M*4m(D?Zd?v)F#&YUR#3E0}Ns{t#mB49 z>gM*Ci1F4}$Z4*0%)yZ z=>42J)pdPT)yzz%+*jClj`Wa_LL&dPGb9}5Y_h>&TBR8~FZg7}5Ou!tQZ^4xpk9G| z!Hn={%!sJ?N8^rJy{Ys|MqaU! a({$}G6fD?vXYr5fM4}cB-KCT@Xys;m)zNWF(uN8V@|vKJNE2 z1bn3!20eZKUNQq|O(Z+UT(-2;4|!d849@TA8Aoas!Z~N@-8@v&^NnC44fJAEENKsa z+yKLLuTjUi-tZ9Rq_vH}R!cK^1t!`4-DEkk|IU*U@8<7cf}eBcJ9^j)RDOk9HU8%S zJQGq{rhr!>F_CDl&0G8PfGJ*}gfy=tP8_E!9VNK%Mhub+thjM}n(ySCqM?H~6eHcn zYli*%!QexrZJ|M4Bwjb#*bgyU6$ZeC2uHQ~^ja2MOo^L#lpjyhEoy=Bzik)wjG&)c z@z&mFH1mwc(IK?1^Fg~L!Z!hG70!P5RW?~N+G&932x|HWq%Wl8_K2T`zkhZ~%c~$m zsnPm(~J2P$B=NScj#aH90MZ5*jtFuD0 z5-wd$OkZIRfCuan8#8E{We3<&m@@Y!{H@6B9|WJc%GY6} zYb@@^>aLdAgS724OCHs?*X^pi7q_Oj2aDX__PhY9o}aQq)4JE4_KB?b1KgW|Ucs_& zL|B`YU1g=$Gq$gaK6Kx{r~63EBkk%GnL7o{ljZrUK)Z#!xboCU!wM^K5^IgpjlD?Gc3f<&pJZz7rej4mNv;za2E`M#B10l{G%yTT<$JH@khZ)j;Vi z4ZjD-8%ODmBYVKIbGc#1Abawua{=uj;rcv~y^!7Ua%@j}wLi_<;pw_a^IE^T(P=M8 z`vAEK4e(zJ5DWl3daeg7l(CJGqmzTNzBTOkmaTyWEF&`>9p3i_7Z|sG_nrc+ zi~^pMgR?QMxC|MyK7$FfJ~JIP0}CrVH4~Eo9W@&RlOZ)bBRe}AI|~aVJrff-t)MI( zJrfNR1Fak$BilbJ1Q}E{sp)7K*%(;Z81d+6*clkvzR%Fm{j<=s(y=msTc)9BqhnzD zdzh7pg_)K0Z$UOXR<^&V*y&j48U7w&WMyV##QRo8&(2K8jz|4%iH(&BkD8f=g@u`o z1&@)2m7R&1Nt;$d8jq3XAM0u|WXd)+`qsuq`JT1 zkH93fgnZA*+k+_wW^EZC_PUf~Y|E*5p z8%bjaXYwI*m)6w&?`AU5{}1_Z?wS62!hdjY zLT|)uz`|%m&2D6@PtC+YZ%oa`MrT6JXvEIK%EG|JV8BfOAKWv2Q~9mp|0C|182+*T zKXK3W?S20X?*HW{{|oN_(egim`hUg!KL-C(!T%BW|A6WWQNB`&&?c=lp=1z`s#twqE z)^@fw#x_oPY_x*5R<;g`cKU|Kv_i%%=7z=!q5`z4=0@LYnCTg4#f<-YJ03F=GY`*y zbv{sH;pZz?mdVHH;C5fBmqKS08X;<4j(IQ=;}jrT;UD_o)f&uA;?hRe`NzX%^$f>( z3eC&J+V+a%YD*SNM5NQShD_`h3Cg7fJzKo<+8gC>j7xm5w3@&+KFCjWT+d}hR-E{DM_N29&g}6rDmTd+a>P>m@|0#l10LBS^q;9T~->;A`PV;O_8@Ao7x z42;&v+N0jH}^8bw%vRs)YgGy$XO>=(xlPyrAI=87=rP}kG;Oh|}vWJjbw zC@T5Wx9|fL9lgDyS((%4``jq*7yB=$AB|$Txi|Hu@L$66fH%*ys(t-|+1S`ul>m7L z;|au#$C_RKogFdKGTYdgnU`0%oQ@^E<>ub&-;GRa4x1(x|EI2`CJKPya9B3BUkCeJ zV}78>wf$jF?0410#-ddK#S7JYdkV6%*CDW&n3%c}$pV=y)-$hF<;3mjw?jZE%pGJD zcp{(x`Eq1JF2v)l)*BjMy+H4lc6RUQYoB4AN(ji_2-=Ac_KV^I5Upi82)_tdCdok#c}^c zt=VGYJ<4ZtG}Ux!_t&+Uoo&6|M|O9GT%Y(H@6O5_XnfojOQzLx*~(W{Dh9t^j(y*j zH8qbmA$|&jHZ?oHD*@_Suid&Vv%(tT5!lS|Wwrxp_4<&i{H3Et+taZwY@x_-%)*j&edSGcFRsn zt-+kLmVVp?1%N}G(_B8#n`Nb~JxW4)6%#G}^c0)TzPPf~#AE#|)MVm)->ytU8uNZT zS?hH-eR41=i^KhTF<(fULhEZX0~MxSK0}EY(OCiX*7qiOgq* zmH&FwrL!umTqkZ^=U+3hAcK-jO%tYXA++5=}LS|x3VbL zzfpCa$^qOIh~3*}dVs8UwtrIgJwH$9=Pv|93*vg;mtv^+iLF=J?&CuR3$Xt>NFmrW z9sf#8rp(Q51lk8L5M9`wJ;*(UVsn!N?L#8Fwa$6b?FNeaFOC(f_L4FE6CaGZgf?Yg zqQq~%!fX6yiX~HjnTi58mv`A7v;RiPQ|e&m@@g(MJxo?*5%DO3&GO>OeemWYv;G^# z;|s_raqa_^0MEpcW7)pXsR#9yXRC*YTWWw{U^Q9X8#_z)Zx{mjAx>Q2q z6nP;LUhhbiTAG@o#Xv5X?{(Wfgn2ACP}*_tvFhEg?I$O1^*~WkT4xvCZl_AJLZa(U z<*g`r3$f#Oyvm?WEiI-w*XERYg$KYW0J45W^vN!uZC)>-{r%yN$6z@xv~RyZmzL5; z?7xyPrP{&}%LB`LuMJH@`C(b*2@BPVBD&?Ih_kfmCeZmroo*{;&MkKg>TAq~xPOn7*iab+M6JeqbqJ-*`^9T2{TRkbNDz2({T z!jtg7ev$x0%Ycxa(B%@90URC$?XvXHiQTF2)TFw*!wc!b2Fcs;@ErEqf$rvmlmSIVN;-F7DF6f` z{)mV8tcs5>Jw9eFDcy;Vnc={ywQ9lnwgd%1$&9=64Zkjd(QyFK&~(JN3ArGUFEQfZ zyqbTZCned2fs=7@h1aO2Ca4SHEkKs_`W|nqV}3Na?70FNgX+zaF)ALb_yIzN#l)x_ z#>)H8`v1q0T5keph#XcZv^u4rqEDO-UGh1$UoFH0ZRP} z2)--1zY_2Ng@>jINJ5$#8d7rph+o;PjJ0T|@gf>z$@@ki8iRF%^4_iNCxKGLwgLJR z8d?_6Bd|Xy$4BzBR3u*xE(+$|l-0Bfps~?X=2*bDx3RGBn+y;#AuU>LxX>xWgqW{Y z5;}Bz;30G%RX`8!ZzsDM9UJuB)MI2|s0Wggu7-h^eRzy%YFZVp0sxTISeH&0CBpvd zRsiskwy%p+;S&!>fQsAMLWVNV{g(TLqGM_Kz8;@nTgy#-qQg@G5P!K!25MSAiwM+i z0?Og0g#H)DpGb7lIG=YaeyxOrjB0@2z$a6PfvDvHu!|Bgf6XT)VGf|+31mP~;E^0* z=>i_ljwS+;A@f2W@a|FtLI5Zf)`LNz;pz@S&24e3X>P!zMdnF-SI+%t@IsW0i;NoK zOTL){NS1$>1ceWZ?5fK#`Nhiws#nLr#RC=GAj^k%4f6B(FtK-{&({VtElmvrC#PW}^n2LjAA<7RpJJF2 zmHG562Cx^rqXZQa6`i~WAxECj0bB;as%t75A_M+v{~Ht5R#y4FzEy>VvW4Qvu9?Yu zaO8|%-^{Oxxai$10a=1x%kkOUm&>FILgmRJ!_j`!uR0#SabBX>k1l=unC&MEmQaV+R z5y-zDy!i^_{I=TdNE%rUaByH-2ef(-_#Kdj1_r`?AfqWZLJ~K)%9Vs5Hb!!OZ5QF+ z#CX;1J=gxyxjOYAC;bbS$LqzPKUTWANR)meiG1%*asXh&MmcT)5riMtP;GYj{@*fV zNT4sV`;PTMe1J$uwouRyY=gfl%h?32&Ou{yI7|6mKe@hph-&I*0>o%B^-o{3zr7n5 z=bPqta1jV5{pQ6$cgKLDL#vR<;R>ei+e3qe=atv6FkwcMs@jxQo1CC{`3g4_6&01F z$Mp-VN3GqhBY3AJBO5|RF7qoZYs9PAS9($bbo-4gr)A{_RD{G4ETG@t%it%>|9Mb| z`tuVV-QC2+#oNovUQZ9`Z$r!&esyRl%F73`nl%2hs&W2``{>Ge`24uCv#MrME_ks! zmJ)Bzhxked0&wvs9A*y;6kHuSJPkITl~Df%&vjYW%RliulL|qpA{p|}5!P#@Yt^8y z^F`F3KI&TU&JGTslzq*X<~KK})G1(16%{!v9GBk4#@H)uZA9P6j1zH-`+{??zx#T= zKmGmrYVm5^Dx@na%K0m*yUCS0x-q9tPk52pTe`SqwJiY26sv-Ox!x`t$FoTS`E}30 zU;fLtx9i2lJ4eT?3yW7mLRmxf35m^bPY|^1>@U*NTl)HYaG`<`FW*JwvH0?Ut}UOg zj+0YWujD1w)ss7uInNe?#`UiMq>wyT$hi8ddK(!VLobcWfbJ3%0YC{Ce9O&`jWLoa za-&5G_nl<%+V3Bfl*sr8LpwP1v}#yeJdE;w+)I5Mfcz)INf8==f*0M5HVHw1 zxd**JTEyhBb|YyoYid6IsdpdFelIOK)js2*rkd3=>9jj+88rl~@?^<+da}9}(vXoU zWqnBj_I1X^tEjT(Gc&tcAFddfmsPA+oOyF&`Kzjs1(HHHEgOCBb1RON5I&Y$#?sxL zsf4`nN#`BUTKai+ZyzQE9KF9h$Hf)$=w6Z~!E^Zx_epw> zLfMrMH6H^LC;@uEnd&XI--h3;2L_SJp5c#Dla-TGR0Kf40wI6)5&lJhCyMvx>+*$CE`4~JbBlChnS6cu@*Pn7l)4ng)tIzJb>&fTm{qK1RxIr%E%=g28Z$Uv( zH#Z?Esj4-tV@io<(l2({V6xo|(lVl!yud+AU`yd>gbKk%F{rP^skH_!N^T&BT?)!CJ*YjG> z>$(>YR(zZI&i|+~TL|p#nk(!+e_$?eLO#Mo{a%~JFI;#jz2huPIHnPe=8EI~ZBJU- zZ%lU6`c5$M;o>0Ye>Xsq4VfSKz)&JqR*r3Gyz2e?w=yY7prmAn_2Y7JiqNyT80{MK zSEdz~*Oyycvd{Da18ej**Xl8jq){Jan;=a#1ejYl8UOQ#$AO#MrtdsgdfHb$$>lam zxw7g~?w@>}ui}OkI?CZ;J9{MRquM)AB_kUt-G=AN&^L@_hP|##V4D=}x@iXVFtz&+ zIf3APtfW}&)lP9et74!(`=yeY2?|+MbP3z9Cj{-5Ha+Y*n9pW=r}#^)w&{oYom^>@ zujIXr9z{|dgs_#~OP9UsS#;3vxq-^X$?^+%-5DDqw`L(1Z_g>~P>&01-F@Sp^YD(J zwI28*Y;3@9+m~IT22aAL>=@eaT8o=(Z6WT$*Af{Eq1f248!3%(agdNOq$pMY-1vo# z-qhh};Jc(xLN{n7({EsUNl|Ch%j=sU_G47v=;+o6;U9jz>t|#S4hnHtuF;-80Zn1c zKYuP^2c-y*fi5VC_5f0gsTCL7Yp?1iZutS3zwKc>8VO(mRy4?&f4BpU5iakG_conX zP+&t(Jv*$?GJBOKM8<^M9>@AnO^u*1La1lT)|iWni-UvgX>ipx@geEwK1z;Yi-xg9 z37}1WeMK%Mow}C#Yn#HE@$UL?L;rBg1y*6}J)H5Uk{hXr;ML#^;n~|W5Rg$T6T92$ zq7$rgThr=^pO&A(P9!qIAxoZ-l7a#fJb&b5sg`FPty(yl_FFs@x{Z5&5K~&xusPg) zOa>+q%vkBmzOsy)nv%0j)v3=Mq(hp?8VJf+wNWY#GdNtYhH_@VtDY$I5jCfPfOzT7PAa8^iJ+c7kdYB6B`-fcEfwE#l!3AQ z84NvmKr%dBghFYe*gDt^EG-Em0s=iT;n3hy91{-;Pe%(22K&LIs(N`BkKf#}>a7~m zb+LLhqujs7-ycxQvI=>C#d=?)BzD+E@vPbC*%NsSjlLMY?CbA;*02bFzJSWzW7RhV zF}Q}TT&23JrW!aqc}87%-rV6p1l>=j%FnMPBrHNr#a~XS3vnP)AYI-2{ryBykb%wW z@uyFyB@0R9|6;;{E-z7F(|WogZlYnrPPd#?122KlCR{x4aX=V@z; zbZV-qCzf>X?LciqNB^YD5jxN_Q;iJVLsZxvJc$Dyu~;&E6U9a#=B2}!qr z;>pUDNb(gK2n0)UxecgycC~lD#V^7^)q>-Jz%t2PNIz_WWNS$XpVUlbOFtS08T#0I!!jsj#T1 z4)YNw*}k_jPXV>{Oke3#CHh4UdHI^MeY|PBC`cS{z;r9v@4M zW?P7g)g}|YOKGvSyAYtgf7ej* zHJzOab($My`vh??y*j;uIGn-Hmk-*pbjrkTC^Xe?_FzXrIXOox1zzbW6cW6 zs?LUB1rO>Q?a>JLx)FVy=AdI+!qZTd73MhYt_`G45dp=YKce48%gQhk92}2ICETgO z>1lztn5|4qTK>|rc4P)>*#S2V&Pq#4QWR(ID!b;egY%M!cl>p z<32b{U9z`B;#j#cjZM>$^U9Sn^9X^A7bYgGo7`KNi|3Zom7%%R5D7?mNoBLG{iq++ z&3e;z4PTNB9fl3fvJ!N|J9l6F6b4b#l$F&gvGenP7z6^CDg~j}UZfF`TZBaA@MT-$ z1_iC1Bcr}xFl)87fR1hp8*{)j3jsYnz542wdAe2P3hPVpS1Cf1-aZQ3`^``^tGe%{ z{^qt7W^`nvcVXQHY_g{on1^nF@?icY&pjSCGb!fBQ4%C`g2dj}RX70*IZ7+*{oP79 zm>0&;H3WktI8Tp&c2*V!g>2Z7@DL*^o?~r3vt~Ptr&^{Ky)Nx&Hr#Al+`-Rm|&?x@K zY|6_04dWq7V}76ghg|y%Pai0DG-4|Qf8HjU42C{aUnbg7p5Q_WI6HQBV=kh27Rbf| za-Lu<6ySdafAxb|>V=KXP07CHH)?I~UhX_C{Nr(KE3q<)rm}U#2O-Sq>cRpiG>W-s zqeUTUZatoGzQv5|A%&2Dx&R8ayZF*gwD5bWkYT(-8>D98@W*)m}kT zFX6u-Jz(&!_;r_oozq&#z}TCnJK$W^3MsVIBt>|6xOnaCvS(-e_hrk^bNW2`;~`DkX%Cl9{{u@2HGiU?Do!TwZ46;3b6x%X=-uHe-mh;TJ^;Z` zPRR3RwXq(Tz-Ho>ye15UT19v(qPk$;JjHU9O$V-wjR`JV%7GV+e73^y(kNnI>RT)> zAPG2uc^ts~Md&2E$eiOnN-zVJEq7CdfgR-hJU}m>tw}?p>Zhuot>`|;FsIiR>Gkh^ zZtm(&TZOuOZ}wc0koQwcBI}->*RL+$HCW!Fp^0cNC?Jk+uPdpXsYhsFvvW! zqSN&`yP3H;p~<_;4O$9=3IzFoe)lr1c2Nzaq8j~;vnK%s@z%aI96{K_dtq>(hG9he z@=rDfbJ6v$Xz~a*%;W58r6?a(C(47%1dW(=50+puYM!_rp&x9`@-i}u*4teIC$Kwe zX;tCUs@r(!ALM8@W3s-nky52cWLsTm|3JeF45Xt;N2USCjuT*ne+5ZK{p6cF2gRz_Gukt?6FaJLJ^HhIMb9b{-@m`eNt6%~QI7VYBqvwN z(P?|TYurL@NsMsNdY?^m^HF=*lL)gGrs$>b{D7^S8%M_1T>Y#vyLP?e$k6bbjbtr* zWq5#zYTbw=Y_*4jMOI9RjBFKJY=V}MP?P}ysQM)5$3JaW6Y{61>p*=T9=4^{Am8Z< zBYByu1|%S@1+FE(5Ixf_4Cn3Q&E(^InWO4@ifnAOIhZT>`!^^TQ`~Z~dHY;ucHv00 z^HiHlCh%0hSl_A5-`ejH77>riTjfx+4MbsxX8J=(mA>74;_Q+xpH*70cvxCh1tBb5) zF|o!5G#48KbrGXbl0DbahEQ7y>Yl)zt1a`5xu7q13?Z`G^e{zjvtY zcB}jcB#yUG)4p$Z+W@EXpVJOa)LTH{Pyh;};nJ@4@MHJ}V6!^1y6R3whKP&^PF&u@ z64Dpky0r`w*S_?>Q67Ae-5H%~@xrk{^$+b3&q-Qnv=24w=yV59MTm8~QkqG`iUdK< zfWa%#)P42*JG~w@7${r};CM(!++=O^NA^Dtbv!eJJwj&F9Ex)OK83b_uQ@Sf>K#Sj zk|;H~_c?_PPimW44asKAFP4Qb=DhJ~O@Rqq%lqLtYNZmhv$u}8X4rfu<*HMFFkS&9 zR}x(Aw6oRA;DQQTh4lAuil5{^uqfYJ!z3iUO<)HjC2WptxKC4VBtllPv4I-f^#2fj zR5u^Rw99qwUin|5JkYAm_vm9#(SahRs%15(ClTUg)@ebcUmwl@txnUGG~fmm(C$I74aXa7 zmGS>G^C+mlKmCDSSh&PoK=VzJcKXT-=DRxI^mHt5P~hgH+3zP2j8P2xZes4{K9jY; z-+6v?y4y*AQ|PA6+;vJL*_cMorIj@VtUT<-UPJfRv>(FHsjF~)nWd+v*c^0yI9CUF zHwby1GvBk`7*8>^!X=1_J9`ri-++I#9sbuIgu;rQ~Lo=Fc~wI&(4! zC`Vxp=J)oL8ShfEfuvTi4KJzLsukcgqN*u0HjScUZ*1&59~~Nc$L)e{D6e(k-h#Cz6gb(#XN1=?SiMa-->Xm&Gu1#Ilcy~D8JSsYvSkQ1H>yd*+gNNm||;5rUUMz zwI|2f^;Klt_sY{V0@qI!($oCKB_%D4e@F?t&#$bgIlN}Tldsa7cB%g5^_nafo*(Oo zW8b_4uvg-nV_IoRNu%@MpOCn#Nriq_=L(>}^dd`se|^IL7kZ8EGfQR}26#8}8XH6KRvg>M z2ngoGgAJWXi+m{v)7!^*64#qq!Oo{9=k;>Fpo9X}FAxJ(JS*&=@_JMilS@AUc*i=t zMjG>n^<>{m)GYa^*1*U@IbE{`#W5=m73Lp8oXBfEifu!hE*O?>np|YBQjW?BHVL*b!QTG!d;+N^Koa`{glEyd6g&gp0t!Rb5> zCW7!XRc!c0z{O#}#p0LGc_z$ooug~2oF=a4YgQIPW>yxg+$$XAlWFyC!|x*==RbIS z7r#<~9~=pNc{4|+xYoc{UEM@qUzlLeyG5j^!MX_BKGDcbE}2YRK5fBwJE%tW)37*M zM3MCg%%os^a^!P@ELaa7y>NBd-0AA-+?y^w{(Tn`j-(G|5Ks<=2vyh)wN{WuC2Dpg z0Qo5ZyMKT4e;CXtF)Y4L23z!68&n~LvWk&q?ETI zrX^0Mj6MuY6yhDw#4jO{$>j6n5iR-R@7$uPJ9mLJL42A=lv-w1%ha#~ zxl_<&$yq%NRaegjT~iW%`Mog$U_cgVQXWG9!ext=R(<|24U32WPxVG^tXP%b$t1Gj z_V@EKOS%?({{DS@a`LP|KU0>%L3!ob$J6`-$&ZRbjUgBxpE%TGkqilmkgmD8h?ams zc0~|<3*U9&D|uW)MFk1#_F|Lv?%t>oDpky}I{w?nkCUFb?7tjRuda+Iyhxp#Pz-{G zgM$MD94HJro;D++nGvjC2Jd*ot^e52<+qOAk!;|`_U}r5{&=DHP#*!x(Gl1vZ^meH zft=4*pxTm>-g-AImxrJ651fllUi>Z~{Q&RqG5?pFJ6P<}_T^~f_C^Qv_ixSdF_98R zU(1}~2FA4H-wJ~1ivcJ=yL;#s*1pm=eBaVox~muJw8juEbtWQk01^1)@`k4&=kKsr zh{pr%VUbjKWIMz!5$F|QfBO3Itw(r7sA~-qV7~D_gTeHz(aD%4?E2L&xdj536eii_CDyu81<>5@cyH60zcUaUN1WXOAQhK@plLW=j3UU4ww z=`pR5?=LpLy~@rpvb0oY>U?1tGcfQ)KtEe(vBq9t*P&({ih)y6sR_R<6F{Q>*77)f6piN>#)~=vTtv@Mgr-755OHx?P-Qg|~Ft<@IP; zwo>Uzv#=SeX>M)-i_K?&JfGu(U%Vl8pHh< zo25_!gH|W+@mq2a3%e2M{2aGFVCY57>mCD-Hcq~;&1N9;q_e_2!193aHu>bQLBH?$ z+o7K?IA32v$r#hhrRF!~G^0z2oV{O5qxy#ccAwj!vZ?G*GX=ODnI)sX93{2FFQ#YX zlw_M8T&lwm@20csEU$dI2(LJGP*5Is`Gsb2+jhhK%?#h#8{IQ@3hMt_w0rur z0Tz$LUH0$;yVoPZQK8W&gm1QmihpIWotoYZHll5+k&boTZTU!hd((?&m z8czSehGxu%l@8%;KwbTf{wOtJvO=^SPW3zb1r#w>n4jMU<0uRoPB`DtwYX0&_tVcX z=y5?aj}0a}k!|puL^8JN&An*%km@1u)@axXB5VuRlBK29F>q^rod}!Vb??p{R;RX3 zXgVD2UMnHXa=+B1`)KCa5d`O5-#Cokl|Bl@j1CR8Tbm0~j^KQNUB*)0c&d1s3|6WG z##msd`3%2)HL<(%LJIpX8|qy2!C;v?RWQs^fG1ZFkt8FzU67Dzg`OM{&l5; zY-A6){Vckme=`pfpQA|X{L43+nUEiZKr4Qo&kamE8!!6ySD>4S581U7X8u|7xuB849 zz2dPu(f;_yY|GzF(%)ex&rU}Z*yR1*FZPClD)0Ux2hV8Pz6_|WURhZIRLDfAZ{<*| zMORyn_dkv4E!#bg6`Q9QecRm#{a>qzUk;ngRLvtX}G?bDLmiHBL;lAJaA@f?=UgXQRKyy!zR+bFJcL=jAgU8)3{9V;3J*CDVc1wQwvV#U5eirj?ZE_jGA1G{veY1I3iv0& z$$5Re*5(Qm(`oRfaMX;?n>KW+a0&!1V+S2R`7 z**%(;?{BODXh()~dAS1pgp%AYX0FbVRMSBEaLB#8nBJoe8BtEor0Na8!6AO|4F5)V zs-xW^l%Qx%0M*SkV0(+&tiS!7PrqD#%4byY@!`83psXil7^))m|B@cy<+S}}R7yq! zwLd8Qq|~&MVi5ZCr)GC|-s{p9)4M`EBF6^R)_B3(XlXG2wE7S*G7{BIUqz^SVl@c1 zO20cZGh5t)U|%7H!qxWt3pnqBzVlFu;_^gW8~S*SXKb|!qpz22YATid<=JK>z8LL; zRfO#9)aIKe4aaK$3~X456>jY6zSjn1Qi7(2pKX$%A0{r6sk<9qh2uN1e*<7?-M+zw zvnY3naQK#y5%?F`djmS{I78f1v#FI~VzyA-2Li5x=M|=w5d+6o|3hZL(2!&epavTA zl!-8g`~qjPnccS4Yro?*E#Tv%Z`}g(+n|Rlbx=VkSx$C`$E8)wC zjWfm3k>_oII`|ZnvlnF?O~lQDgM3L_D zqZ4GgQGuon-rXn~E^_}PbERd>J2Zp``ubiUCx!)X*Es6)W9sMAJvl}bZHWJmS>=-X ze@#q`vuR&}u`NcM`aczFkdK3Db9&d6CVR8snx^3s{~#X~m0QrOOj6DlWGStX2*ee`~xC8&!Dx0wN)S}D9H@_pLeMGW=ycJq^+~S zO9~B}zoLV61^E_U1wH)ai4TL2W%B<2&yf%#B;~N|Mefxz)2^z@qR2f8E!a z*Qc)nJ0(+ay2XF|`I)|7YVe7=?E&E$*SeO_wHvhgdFKVWT`w=|=r-o8k10KIbBJ-o zJ?rsDEUfg29hWG;&#$K9aM89%%@@A+3n5{I0Xm^+st%f*tV3n5ML}+V5p!UBdj^yu zOomQcW~()mT{;)d&3*7<9SkEI&NMv(lF(G!D7vOEq5FeaDngCBiP2LgE)T{XuL>uT&AP%@N0 zILMrtsc;Ey)n;!&bTNgz0+N!F{XTnp%=_osX=GMoP(#DSVpBq3>#q)Am|{5}k{9;M z(BDLCc~o@)#pA=LAs&7##VrT+$|`n!uGiVMKRU4b#n}$EGSKhsH$K=^B6zby6KxQ<+z2;tA_o~d z!w)gYw_+_dC#r{tc%K90?1IUYIx4Vse+0C9yz(aU@A1oB1cfe1dk4pVKH&Gn#3Z_;IW*a7V>S8=UelY?J{<9T55X^kQYsb z4)0^$Musu73|%HvvhaMcU9hcg#fHPOYU_iqk+*AX;Vm5$5CGvqVyRmK0{VJcf&)M( zavfk75mf{qD?z{YwHU%H;PYc4ZS4y>xKVL-h-8mKW!un#Viq773PH;x_hvu8ri_k> zDPQdn3b~=X{!5gs{MR}li-kv8Iyc|ecNdvTt{Oj$X)E^fJy^?I& zj6LcGvLYeHR4Ajfod(L(lNu$0b-Smh$4d}CvlBkkq={~7;q8~XbBF+g@Y#*SBK&_y z+-8aHE~3~(ur4etEH?SFZC+P4tlmo*cHjYP1u+-WnH_sztx$>vb!hbr6+t#P1;ucD zA_fk+-Qc(BgKB5@2VX&m#}`)d&>qM@ui^_r=N9~5{MV1k2kW&P&zD`@T%4VkhJnbi z&Z4$8l6hAs?>`~a_XE$2^+#vVeEveU~;8K0m6OUC7ep8mKmQaw2EQyh1i!x!Ty=X{C#X(y!Rad-~}- zNrvAF_dpi)CjD8Ohk%by`DSd2JoP3*as&F1wr(F&q- z9kbk#?JNE3|H2Ln4)%eqm%h8;S|HRg@dYqPq-FV6;F5VNfgn{IfE*GJ~LLyRV@>rwg zRF49apvpZrr)ZK*A9T4qIa&M5ud?zeBd|imtL1w1v(E{Nm8ir0pIXVR1spHGaNc|i34yQ4*UtRzO3qLJcUX(6FSy)v zfe6$B8KVDZG`5oUr8>l#zf+*suS<+~4fRK#a$X&&l~$tIi2Q`nfre*Wnkp)fMg0FE z(t~!+dQfm8|2%LN6M~!0+e5wyVK7?!XJ#OaXPFCBTI#Zj=)DOowy}l(1Uy8x5b;l^ zgg+d^sNY~hLJ{`>ew9Z|OknJrdVDB7eK?Y;e&wOPviBBT2rk|EcPkuXH-6otEwu3Y zfA;$B0&vniM7DX_@`@LMEH9m$NY!Cm{5B6yoV_^VNQTGr&TMygCoNY4#i5WkO>OP; zf%mSiuDjg;`B7K&<9heX!f|~%Fn#z{npi6X^p+L8egVFw)Sv`DkMC?=KI9VpJu_og z@dQSfA?xy&l-F)^WXgUT(Dbww{SG40>JV|IUfb}@UYQH>Y~Ac&_=kiQv!kPtH-2Di z;2{{0p||P-Jxv+pByKm`C)%GF_@N0KN!q|awon^uSli^TviqAgxuqtsDT9zYs2%r1 z2y?W%`_!^|dfHb{(eQS|J8W-X>G$(?AbAnajT$YV*UO2EimG6Xb7$@N$AQ=ZH)NE) znnlwAbh=?L0i7N%pCg>*p_JsqY?;$=+jCbKjy|RABl{rst_s`r;a%YrSiBH zn%ys*oOHYdk9t}c03?EmyaSS?g9`6x*k8HVTPe@$lX9v;%j2))E05B>jO z9{reI#OU#3)1Lnc!Z-)I4gad0zoWWwjcf%)GvEFE@h=kM-#l7};PH+$=npIYV%_Fk z5;q$s!h4%|v+IRyAZmr9ndR64vl14z{UI`9<_PJ_=kVwVBnu^8XLQhj2;y&bt{%e> zee!?qL=+4E_1h?eQhy}RDO9o!D4knf0A`B-M(7zC#m`N&9eLNO=K25%r79@Nn4ll7 zoWF`P;}T_!+kDraO}>d3?F865{WA3a!hyv)s#gF9i3((A$~1l(Ha<2+%lN2yfR9*2 z#F`A>Ht+WQA_UXS;iwQ&S`Ey0m!=*|f}Fs9Ygt*v)YMCfM6|vC)iN*UrStAqe}8sg zNC(V))P)T}AbnWx|Az^%V>m{OpJhil9F^!m2`tqgjnLWs^1T8N7lFK>cdxG5rW2v{ z^9Q$QGoNQ?wg@4|V;GQPvC!>?{)w_($8SvNo}_wCXN zBJU8|-d0t+)VJmV!>|WemCa>SNInOB2)uqe6=MRyy3QgJJGvEwugygmP`rS`sKvHmkR=4}V0PV{f5~JrSJl{Km zXSug6?Ir@r#G{W){y{1#^5+D3w|fD#x2FFg#SM~s)&d1@PT7!-qUnAFWo7cS!$A0@ z(LEaEN?-zyDn_4+Ms6emW1hB_KoPYLWgDLY=YwZ_9|S1YK)%va3p}si!_F@!>8*^m z-MYVZ>K=xvOL6?VO-tkZ*f8nY&6^J@NbicK9PTh~_OwYatjC*r*A1weR^nMsND z$G_-wF<_|6(>yq62Ow5OeTDEqICx+Ssdmv7YR?0TWx=MHh z$v(451!Jv#C<^!U*I%D{!rIYc2rlg9b^*0#>?k1=#}ucuj+h=>S;BC-h~te~WR9?g zLt4BK1XhzEcIfVdyCVSaZSdOeo%_*~B5U-h)^nJN(etvx$VjrFpiJXA5~O05dn^iBPgiC?RNgy z7wcup_~zFB}>Eot+J@UKuDyJ5joWH>7#=B{QTAN6$M?BOvG=xQv7>djMeO zXs1CrEg8xoWmb6yo?D}Z74G6r*xO&@2q@EwqSWvP*vn1YDCrql!c^TS_IToE+-QGC zHmSc@P=4MjKg9->e%D*ypi^grWJUx>qD8(yhnEvOX=!QZue~N-H$VIw720;!C_YZH zlLv~7Jc7q#n03+-7-2rX6cofrD0Ba`P#MUoHy6|cFuhwhX`tU4vOeFw@IR65m0%>N z&#EU5eM0TZmH%7lJ0lIgoMiQS$;}jp*QK)ycS(yTZ8>BTsaVVUhK7!LP5&-_h>1zT zdbkTiYiqX(F~xtPUm;c!u!!d8?mx|+q2)LILU?Zy;C?PFw5Ow^6NuD!+J~l!$K{lA zkGDjEl}}3FF+2r3wJ#xbbvk@RrG`cjYMJM}_+(kM33E}A-sM5kw%C!Y@_Eo9{qy1y zp@dX@zyz)gOC}{e^QG;mtC3lX%D5?N?XG^$pWB+J-nVIllb&#``d zXXGwU6C=qpp@A0GvyWVHNeW5qXq2W zA04*t>rYPd*x8wGpXtJ!|K*^IzAgFYz{_#+%LJm@niJw3E`!Cei%0(Tbq%;nOH0dB zQ;~vCGGG{nVHAgr)|6JJtFrp97m~2+JS+0+w@NQ-|PU>voiQTKK(wc9cucm zQA1oynSZCtp7LP#V14rZ_(*pcH45mJN-)I@KYe;R@KYClreikz;BTbFp?Ac2`T3u( zSkZE8kUn4!-#W<8A7#&~A65YofoK{!QcWrvkQH=vstcXmJu&?^3owyGo;f)np^BJ8 z>>ZGLFoN^F1`gV?TZkIiWSrJ>zBAOctbcx%faEW48-AdSD@(qd}EdvV5LMFDSs1LB(f-s<)M2I7CYvPmErN=)w@Z8Lh* zZX<1Y3+8J(qU!{zac*d{l8JG1)6c&nUZ38n8ul>7wag=hn>NcH)H!Zt2t(hq-MU}@ zB)17wK1bvd6-)RvHwUt%)`&K=&u?!CnevFu?D;eQd(R_w+MjsyHg3+B&!3eNHxL5q ze?es6!Q8TN+EcE3*f;c-`cHOx;sW}D5ekD*_kT;kCHYklbh&Y3nusu>o239X@d7xe zNJ=s6gwW`&Q3Rq7E!>gq?zDmf)jIn8>PoAdoui>K89}+2+Ts!(TUr)KX4NbI;SU}< zrO&UHxqqK5=u3iKDo#*I5juU^{)HSSu!V;bW6k2gj7j#|@|v5$=;@kRcb z7Th09#ya$WvN~emjD;WEZ?W;wkS$Un1=G7cFns@CFWh4{O<=|PL11l@FOTBYH`OVz zs9UYm*-ZNFp z&%qd%TLHD)nIq}YRgd}&`m)a7bUj<;?`e#{q!&+ctnNI){rC2$Mc2n?%Q{);nK~hE zZQ$G3i42+Xs4S7}z#88*by53?P#p_@5h91PT_2INGbZQuM0&z5#Q+m+;a&Y3dHM*S<{B@-J=1RQ4SqkpwE{Tv-yznJkP@HUgS;J1XE8(MMA? z#wQ@NzWRyDUctKyj!|f;*nj7g*BSUMtuwc2Zkg&00{?OiSB;H~jIt6Fbyd`a1Q#Ai z`z><1yFp^)6cm@#XyNPCC1=p?EE*%_EdCgsa285mW>Q>hv5KUz!C!;jeyZ91hjeZ2 zN#Aj4Nr`)|P1C~oJGoL-iSbTu#m#>yuQ;um(TsWg z)K9@$WW1rSPB2s%4IMSta&v)e$1MRDaJ3fpctBEHPjCzN2gdP-@O^A-oA&*0#BHL| z2yxYu&GX@s6Svgo48o0dujaE~U=pNzI$U&s@DAg zj!xd+RJ;@x!1rd!aT7A7Dt8LZcwt$w)EVhwjVd?Ne)9&mZhf8hN8Q;6^~I?vjr-gB zm3bCG`a}?jvw`%v}5<3OUmR&$nlfXON;3Lm3_ZT14$Wis`BlE&2xVIDF3E= zeE}&g00&cS3jSf7ZGC-7?#Mfx_9zq_|8nsj6A;K@f;d)> zfzd1^`_{&*ux(FNwt@cV^FQ|?8F4iVs5+-AVD)XSFlYyjzN}ME>JOoldQ8n*&92e| zI$2a(|SWP9iOvDzg;z?5*l>s`^LhC#=?RL19)8ccs-b# zKbn)0Dxxr74kRRs`&s1Pd?2?W3i9`_h;oo)TnP2RZ@YOzCRX87EL8JV3IlltVkZ0g z)=+3Gt4ft1(^B87YvqnLSVf>br(#^CC)yzwJ|3A6ZZ1xfNP<(N&qSS)q8d}e)! z7GF!SkGKj-d4jtOHwns20Gxc2qu{=r-^ID$3wRHS_EJ@tIfij(Ff~^S-;O#gOsX&E z7}`(SGkt8z)PvQYD7kZo?&C|uSocKkn@MxQykMF`Tw_~}pt#f~T7#wUlVRG=}=Mxt?e3ovDJ@4W2)AqMs&ubTD9#QK#kSi~pm9=EmUMOzu z*N(~{v4@{Olh@7)B^45lK(Nd@X;s0_+K_e~T?HmKz+;0Hjo^-h{E#v-0kC}jpn;>M z(GEF6F&P?B_@GeW+8y?u_Ji672_#MOQj z+5L!x6iXCMJ@=xhqTvXZFmwG67v`%!itVl9G22jOr713GV3*(6&@lX>MjKtFa`Qtk z3uIwV4i-i>Umj8Q$-h$yK+WQ{T}?y6y8w(o|C3TFsG7jZ*{IamzjX5EUx2rOU=5+jRX?ZN!Fnstt&LAq zHap^zf_oTcGvjS^EV-6@m`OIb=n0*uH-V_$mQ143c%x6HL7ZhPS%g+tE~ku)Zijn^ z%Dd;s+3j&?V2u!sn4S5Sc?!i2r&@+V~y#p?^11xWMZD|lS1{c2IRY;Qx0Gr4P$}U()-9t z&ZMj?3cFg093GuV{l&&%f9Ab?WC%FxXEQpe1d7w5qBKRLDk|QIW@ajqgP!gG8YrCC zMPT-i_5w!;JSF?!?tf)Jv6o9I2nP+bXBXX(nIGY9L6DOJxTY=wv!8&XD*PP4*x1`< zH8(UgjE|48u<(gD0jwqG_67jL+k_XpQ`Xcun;QP_#>MvvH|q`>_O!;FB+E3^JsxiE z`X4_+pbo44QzWB$?%0lZ@{b?;I2^E{F~>rx0W=S+W*qokTPWyR9=jVFPcf&+(%l1_Y8pNsH#Rgheg6FQ$qNb9#ZR9;Nu<3wVTuch zH6M;ueBen$E?GXr#r2C^tA18bmp5_J;Fc(hb4M}dEw*e;hiDhOJdS*0=N6Zrue53C zg?$18@gx$JhNsG-I|^ywl~&hql?d}kTcF@L8>Pn85OA?vu(JaYBtrXBx94YOZlIv+ zqhjRcW|253CH^gewHzgNLmtx5Kn51QISfod3pcYXXr(>;Iy7V%Y4>$v{ItN^rEArX zzXFVYKccdfS5EB~@?MpDFlQokn3;len=1t#pZ4kQj%0)g&`oG6G#SRd-O5gUu1bvp z2PfBTq8)wY&cT=E8%ehXRYIj8zt{U_jH&q=h+sD$eoO#ucUkB(;!gdW({ zQ+;xkEW%BkF(Ll3zrPTf&+^Agu-5A%?#Su2JiYjb#GFr_oc^5>Af0laT&=v8t@ z4xC`uyVX8-GC#lC+bfA6?^xYdi{^?XFJ0ADQQ>4fmllx@*I`FQMM3Iz7YFk|q}$>Z zUle95())CiDk(`HozN+B%!b9iRahe-;F5x5T)9Muo-nvmz#|0jJ5NshnX=4ZeTWV8 zxj0;|&VBRdoFuBqh>Pd+*)zRW)Jt6rqIFMtsz-0IOH037TgC2z#fA0+nd_fm$}t{T zZ<{?o!L^Ba^UF!W=euwhWS~h&s3Ux0x>l8!M;W7Rvx*z1eqr_yH^>$L#nPBhAXD); z$r^2_)V>i7xtmsmG~yHokA(b7lWhO|JUA|$3xw< zkK;%Ri6T@OQOGvN7+V-y3E9a`_I;o1j3r6JT|yF)QdyEcNkft>kz`Aju@+$v24mje zGrI4(@1E!N`8|I;|NLIBXI}N{-FcsLo%6o7bDeXpb6xAh^QAbR7W_AS7qQgvYemHh z=^YjG03M(C{{8#i1w9X~mlYJcbQ@*M7~We%X%$tq;JC=bV(EhM?AkJ~Lo)CWX?8Ub z*g3%oK?3BiM=pBG=PLDvUn4o52xDk%B*OWyA+;j&Ed_TUePQ8zE03HFJ$fJ>#`uNh z@LI|88Xw8SL5_bS;KYfRByq40#&3E0k*jR)b%VGYZ4CQcJ2rSHf32^gGh=>=@;qD;vHV& zIh|*}`X$tjvD6i>^B0@57W7+gw_fl~D0TBfrDEh+!L4U^sdS9FKfe*Pf&;#~dp?G(*gpPPRb}4O15V9xELV54kDEMc^MFTuRd_TGak0U$PVELOY8~?8lZU7C!cSNK-yYu=SwzCyh=V? z_)=~r561eEC9w44$8&&??(@}VRxyovgPtx2CD(K_MU&zsN{lo$TWKnp+^cxLuxn)q z9_!vcr(bC7C2S%>yKw!FZQ-;dH)-fmukzS0ld8QmF>r;ju)4WEQiQ=_%#A&-upM-Y zsIkRXi2Xr%FSuQ}OQ{QcjEzzB3X~<&V(`hvU7SV=-XL0kBX~?0F}qZ zqSJ-KB_F4?RKs-`P9C=k91X%P{n6bjd#U5v#NnpJB7To=vTK>hiLQ-px;;K#et4mq z{U8~+d&T-Fnm1!xOzhz+yVh@-<{}JH1ooVBI!;)!TnLGns~rm!kVSawhD#C?;f9aX zGBZzmYJw>5+}kaE9nE8n{#rM^-^FHv%MoeFB`Y}!d466 z*eH#UJW5U=vFm)ANdIz*huXrq{uYaTVP>wGmKvc85=zjE3PPAphSg|8$I7sN<$LV6 z*huVN%ci$)xtDY8C7$&t5yw{$&=%D8VSa<`wR)-NDF%w>-x`CY#FhK7t*(f;sp z@=0M~-z-|;@X@VE4=Bx^=Rr){hAGpFr0vr6ku5dT{;4NVa3S@>!QWEVW{jZ%(c*vpc61)i0O z7|G7;I$j)WOK_}$@#6KC&3Iem0^!n>chy~()+A!rN-;z;hn3|DlAV2gA)j;Kf>a@2 zb@Dxi{YQ?XS3_~m*@%AZN2qnuA%YB(^<^=c8m>co`YjYgw=JU5(~W{%4CrQ4M?E{h zoH-J)z8HGZT~GJA)kw%K7G(C~$b-u&>Ouirkn>A zXg?Xk8eD?9FGw8R`-sFrBL4wG#AqzufY`L?Z=5||{1ppMW1-KVn_64ha=c;KW~}Cs zPUj5UI+|eQDG`rqszZmujFD2-%l1T=;YJGgF}5SVva_vNh6hpx)ULZBgG(+IUH-K$ z1gyPk7W9P;TsQz3V9SmIwPG8#8CYkHLNHcSeM7wxPJ0aTq|}uA!@=26A2_;%?cw4` zR;SDEyqt}j1F`ii7^tO&v0PMGPwMqW=w2^29OOq=36_*4uFv1R{w2zowc-L}!8?^CNQvdG3Cn&7V~`}kfgz&H|j1H)rM7em!E#V%|SZ|`Q|~5cR!9|^9u_@<9$NF zzMiB|RHOCRZPUm5YYvU}ZQEvNTYI|u&#hoRzvT{nhxt?lGd66dB${-Rv5$zkEw3a!DENQe!+yql5<>aPsRxw75QE5Gxlg#xkf zods8&njLvr0&A7JEB6APW7w9l_U9+BkOauR9l`aJS?KW6&C{1=j?C&?f-UEh z^#oS8y8H~ShYfT@E)23qt=i_?_1n&lr&Gz^SynZ)B`1lk)lRWcIW1&Pii61 z3H>f&SLqhK*}mT|@RVN|03;SOTceN5K1vi%n{BI6i^7DygW}?B1qG48ARM#4(0&(; zXv}U)s5HuwGE0&H z?ykJ|m(eVH<5hR<*H8rXy>&yKkNS(~IQk8c;Dm(xN|45xg)ixo)9;pEvERLCp8|G6 zw+@_dnpnD>Ty! zMvD{w+#hF;i+-Sa%6*u9<{bf@0%=1Cf)wYqHxo72Dm~d4nuE=J&wWRyMnj1N81~ak zaDOpZZ!ayMxM_al#iwT(qjcg|M|XGy%qtPo9p=OodD|tJDMK@wXPeB@wa&ymDgd2i z3vj3zV$>czxP^O0 zl25!*MK$1I2Kfa}eZjR%loWpkZu3tUaBuw%XddyGKYGnpk|EAs!nfcxS)|QwE}BF@ z0}}w1*8L~*pEhR*u#8fL>>hv3@!%e)aQ9$Nu!l)I>Ej+l5fS+&CcUR?9RWtqg2~J* z@Tw94FWj3}y36nDclQyf#bUeVl!yEN7{K-Nx_Ls{cHWFskV#enKnWnQBh=J@9meu- zFt#+_mD-sZ6a8C30ORXaiXZXL8@a8;8@Q*0V1LOHch>5!ScS+UGcwfm5hxg#tp!l5 zdm;RbZ)o?(T4GE7D2#zFAIyQLU+fG=IVpsUem9jfVO9#gdiyq;&pu}ODS=I^hvum% zwYG5q+2Ip~B43I~Eq#P;4Ol9m#_1RsKSSq-t&~{L^J`c+mMdQfuE)AR zRAKbK-!Oey|B$pKUY5NYJEG(cIhnzvbjIe0JF1yoq3LIBBv zdO4Q`xw$hEUml68v!l15TGIwIp|#xR;!?1P$of@#7-{Ai6FHw84+SHRaQoFBNsOdm za$#V84XUs$RrIoQ<7!xO(n_${CGx4Oh_A3lJCqZ>9w5HNT|k0Oo< z)KEPOh=U$I5L+tZyq+2YhmQ+Mrgj>2p=Nv>&WS%jB(#BzEJdXEu`QXu8mi+10RP%iIOyBy3J$@r( z3Yt)hzr#h2Z+dL_HB*JP99VOvraqNWFh>@!8O1c|4X(whURS>R0a8{@zfYg?FqQOB zf6bC$d_K|T5hNlqN5GkVwlXtoa^fOud$KiE@l6RwoGN~Q(I4V!={+Fg zj_7dVqu^3|zlk)cD4hJzu%Bra+s{q~GYNvqj+T@2&sK8fXaI#2)Egnun>buu}&oS`>p6Gsn$U8I>AZQ^QMT508G?z<7GkI-m` zJM};i__%wIh%jdo#~5xD9iZX@G}OD%kn!~EnzNa$oh z?a)T}$a}fgsp)Ip)R!-dKkZ4*8v2BlWd?-S9>~X|BZbL&x}AsXZNW6KKn07~Mn6Rs z1J|J;GCK5o02X@4MUK5WI9Mmpp?Oj7z7J!RW&LjQDXt`b{tR`*c|7_muwgx!yu56d zb5#dx{A#T>H%Esfgma-Y+`<@LmkL8@!mJ1ac$(?5-ADV1NADqhfISANtU)olAb^_W zXh8Oaa_G9Uvhy|!#!+Tgt`NP-2c5hbaa1A4Q_Nz5YFSb7q4y~HJojua9bIQW+17e{ z3g48wxk-oGNJ&)DlHdfPj-~B%mp5MIiBT;Wl~tG}%hf1rj{xIQ6~xs zJg?KsLJD36#`vY7k5F>5cuif^w;kF12+6)FkU$`kN}Yd<=^6-fZrL)A^;yg;#gTI* zeLFUB)dfW8`?o>r(i+nusQeBH!IUX2_NI!;vneh!`aybF=L}Bh<#*T^ZrQd$Yh&AA zh)w5VX`PjLPhTRCH(IjVvHa~6bx98oT)LK}wzi(%;drRql@8Wh>4tc+`-00*Cpk9? z^0isQhFcj@XsB|uuDCE6cgkX7KN;^RsN5IXstFNEa@HRB;`sRD65r?vV4e}+uA#*NJy9*AJhl&1a+$GWeK;XndaEi}4nXNPaA?CV8GlwWA*WIJg z$#{tOL4AFDVe8;Umq`z5VNyJWjiw-=a_tO^p<}qNnt8N5iXzK`fOySk?A*qWQo&?e zon0yhYtK2KS42zP-Gq?2Pz=j@XjsYZ!}M*0AMk#w#J+qCsEwo!@ z$@ctqi#~}t-iVufm|dJbPPO|85m)4Y`*P19S5g58;GqGlk|Z;Wkm2xvO<2Nx?FTM> zy1MgXRN|4^+Z?@{XwGY|?GMN2x4vq=r!U0Sm~WjA5#~YIqe$Gq@OJ+&2}^F=qw!*7 zL~$HArJ63jv}CriFY^>Ydd*LMdoI`4+uJ{m`;8BT9=UA8j4+{zkJi!;4*O{>~ zoc6F1H%%h9`)_6k&C>0OH~!))A^U6|`?zv-tP%P4X%OV+q}}c}aO13%+HUXp$rq1z za~^pPiU<2Ybz`MO|73?~-~+c8=p0x12yW!i8@`%YT8O9M%%bVYgZ2g{#yBP-2tFw; zzD!Ih^mm?4?qyw(tg56sM9xpI{tV_bTk2OC+rVOzG=dVZ{*c?YnU(W!Hb65jWAD0y zrioDVxHiPW&J#dnHhD=LFZ>gPr;n2dv^#p$))6SE4_^)x+nfM8ht=4dj);2<40U?a za}5&07ZFTP#}8%;&`SQZ7}b_)IBIAU77v-R^-Fkin6wtI*r) z=tfA@ZsK!omn;eAi_cxejk>NDzt9zzY3Zg3C*l!xTPe)n0&A}Fky7Yl@mDu(m(ZCV z*MXH90cK!FIWRHAGs`gV-`{j8IvU>;4_(<+T2m9s6&#Svy%hj7dv8TKe_dzSVhvR< z*>WKyiJY-CipL7EW#75O&COjE8Ud7!3xQN_*eiRdb6V9d!f7f_NS8}~@=_Q$Uhf5| zx28M&fM;-YB1pR&70dK$)_m|fIP|apHdNQbk?K{5HhouT5dh0UK(g$e(EBm1mR-D? zDo#{;p{KMFR`x7ux|+K61Ba2Ym2mk?d^wMx`X!>&b(6@sug%Vx61?U zZlUXp2D-8F`F@U*Hz+!KZ>8xr*xlk9yLMcsvL=YKS}Flwj#+}e2d5K9+uOT<+2PW8 zCziSNQYlXWXXZ6YXGNaH?tJfDI9rO|yqaMpn9tJPji9B>6DN=Dy$byx|G?ui4YPVl zHiicU4VIh_a-lge<6wz)QyrP)awy=C8p`%?YHB}X3=3r9V|uHjH*B-CYWE`oEot0=hamAzbUQ1AA2T&E`4-8H)JNG+Wem`5Jo^1%jKK z7Mz7#^wbCUUgAIg2RMU0{<-G#3yVr|p1W@ksThBf4?J~hn?DdYiI0e&KYskI3~laW z_;fa{P4aq(K<>=e$~yY#F)}U~5nzW;sjaO^O)ce(zjO)CIaE3xemQSsB#%f;1+hK< z0681p>3o48d086Y{+>hI@au2azAd3R%0R|r}XgMRv_L_ zJcz#hJ#-vme>?gL8jZ+EocVM|5XHolq}I46v#5BL`q*7kR~Mv&Txsul7!cfkh^#fU z{yj>C#(V$%{k>m5AtYtP5XEmg5AceL`fC$&nV~t1HrdBjf@QFnPFOi6)$_kDq z_itR(=Ql^cD%qAoL$x3fWXkf|NK#9}l`9M1NH1U7%zR_Y%gY$WV=|9 z*xVfQ#@C+O3YV^xjfB@L&b=TSXnnTTmNIbrJti%!bo{tg-lO(Tc6wSGm(oim%AtME zuqRM$dwC{>minu;o|Zfc+Gb|zuCAA~di5=f8V{G8mpQV}8bq+~90B1rZ!b8ca1U8XX$VkU#PQ07P-r#utgd+G~Wm6hOd zPf2{?entO<`LVl|(llIXo=H5u)%pw(*0-Jd-5Vdcwc7chs6EER&o2RwH#m~C-{r6= z**`?=iA4!QPOf(_3)rp|jnRm{c`WH02qut|Lw54?TqAEk3~nz|G}yj3zZ$A~%GI0B zi%KRV!_>uTO0}fZW>;PL?A@EUf;Yc(H)Nkuy!K{V@jShtDMGatcoAh{N}dUr-)Ym z^2KZy>FfLVz8@nWl<)u4(r0Weq-@wUFu2+#b~wCpdz-nq2_o27e5w)XDV>;D z;pb-szAb9;j-GnPqguwJ9~~W^Xse$&14BouikmjS2D#QPzbOde4X+H}9x>;vXMR!; zbTlcVfoAUfqL0s>29d=;&9HiAmeCf9*Me(npWpob=uBFJSay z0dkz*=z`@Yw>-wr)L`A+?ErEYhPy#39S=vxeFq{P^1H7!9yxHJyCs?1^l_z<64r06 z=M;+ve}fj((tIL@6u#8v?_F{az8->(UX_kl68G|IkLs$uH9YdpUMkQ|c|!F~<)cRf zcklkuro~2{Eic!4T=}B1lICGUbK>Z7u!2jeJh(2J%jpWdW-gv3KZ7mzyIZ2k13=T7y`33aP6rc=Ma8dciG27VZm`kw-g86w)tYM( zuP^75rlzLO$k3MZ>Ag{@2h^BaX6oA`MjR}^ zw$Fvils!Le*w0bY8CMpXc=*XcQiplRJs(%sK3B}$mpoFvy{N)oi>M?aaq-wSMuyjX z2G`9*bu={}EJBj^_My{-UdE^#MTw0xL0{Z9S3^*t}GAHC$QfwT90GV)yI}?@?GoA`0U+R7aeEt+$P^mK=~{C zBV#73n{Cf$-47*N*(63Reg8hbw4ago-e)U2?u z$2YZ}3OWPyc>|B2E-joR62&+t6cZosVrVFwypMx21|Z*bLj(B0s!eNaAPmALyEv-L zMA)?LGul16hl&dKY6)fQpFdBprA>e63xWMITvSwGZ(N)*?^}+&>Ix&*qoUMAy}iAM zZtcA#8zu&>h2DY5Hu6CEn;_R@a!MEUy(cv<4`7iKedWB54zajV+28+mU0t2U@Rtu$ zxwJE5h4B+ltZlx`U%Gue^uv%{@OYs8#Xx<@AmW1)eD*FX@iTqFmFqDyWryim7#RHn z;%p;BrV04`D3n4`(1)2?zqOcdFi(vrAMo9}Jq4xet?B7O^78JR`1tr;yK2J2!wtUH zcFr*5ianwIys_G^XSL zp#zsx5A5rj;C5C{Wj3p7FscW4317I3O`^7Qb8^64RV5{|zvJX!($RGWhO5W!-Moo; zLa05F-fdlLEPM*9bZ81KCl|qZ6MHam>J|Q3z{5SCb)UWu1HnH+!|d>U@9UI#+uB*D zr>0`n;)6wpWb-aR%*)%r?d~)$B0&-U{(yLHhUAEdb-LYg5;^ca`VpE5`>I=d&mERv ze9Gp1PD^XBeyC+ouhy8yPCg>Z=DePkO?ypN%%#r=@&htjwWB zX?r?LRJI57alLWkLbJr)vF8pg$+3Hwfp09g0w)zAh64PJ2~E~@o3@gwYoss`a^V!B z%oidP-@mV4SZGuX1IaGXar1|JGoDuOIeof0F76<5JYa^5UswRaqT42x?rdK<3KKno zQUDs0eJ?QZ!|64s7{n@>39q_*(35Dnjm_@-%u_);-&cn_I@!m0#y6lc%XMKtbvw?e z`WsG$C2coE23CbdMUT^!vFuh6>ED(^R)p?Kk9nG8zW350(ewJRRSz`U*RW*$!Do5{ zZcdwc|Llpj6~Z#2xdVT0B?tK~UMv$t|NkJ}m}h7nck{!O_CrS`dDt{Mrq)(ADj;-@^^QFTc2!X?T6+bjQtQ z(e|6@2Fn8)4V#;Nj>`_W}BLiJ!(M=e>^=^8k{oBHs+t)+u z4IUfY$C=GkVRuJC>4t^9O5)<;r>AE;($KT;4w8-)aiXbz`!tmNVxwv^N@-5ck_;}qM75Pk2Iubg*YEG_hXG8s+ zj6!vd9YQ@E6Y^1)udUH}?zu$QNIfPAnbpB*5%lRS8)6vO#=cdB4K6#0 z7^oo@5aNxo3l{SZ;Qs;SFF5K>0S^9dz7&M;QsCOz`&`5*^6^nR`uY1YK;OT008Fh2gqK0cmG`bIk_LWw(idh(uk@(-XO3neb80RO$_FRUq0Ug`Kax;claQ{+=p zLPACiAqoB%BT(`vS$PRLF$olKFZu!GZ#92|u`>{-e+NTGUJChl7=Nqz8;rkr_TNGI zmy!I*-#c~x+jMYr_(=xei~gQFjOyqBck*=da`MIm08$|SNeV{?d1s)#>@Z4pzP_Gr z4m*-d;S~k=uUCKjT2P2_!vMMdRrVLVsCZ)jS^C!tPdADr$$Q#)yD0Jni#a+u+gOKw^DWug@SGsu7%~4+3Spq33C2KE+ zM9Ct>q#RHvFwh5_ zpxJ-__v?fI`>=mP{9h+OQSqNV{109K36_4=`5(G|qT)Y!_#e9d6D<9#^FMU`M8$vd z@IQ3@Cs_Jf=l?<%!>{!oSfeZQ1%cJvzgd+A`#U)^F`#%6Obil~A4*0-4lJK3KVEMp z1{q$-pD!fkP`_U6)IiA~Wh8%%Nh5!~`m?%>6j%gPeiYb$l}StevrJN2 zLRRLtwvy5a1Z4sJ_qL!+67k!RC}lEWiTqnVB;s%1M0p45A%7nqrA+o;+e)Lr2ElLe zWF-G}d@?d%OXIhCvXcKgKG}aWK3Un{+sYwP|AH4eBpBgueaInYQNQ;ghm`w!zZicz zH?WpvVgNf2MsA@_yeLVyp^p!ScW0XfuIcUU!wW$08p1WzEfo;52z3=1Bm$wPs-~u* zB8Nnv)DRMC5-4dISy@$8X(ffzQqm|XH3Ui)A$3|sT1r|)N=;5uN=^kOCw=;il&ZRt pHQ0D@3gkr~q*A}3>bn_p{edx_XD$+X zVNn_eT4rdHq2i(Lq1K^1XhwW`d^j7@lXp`Dx^O$=@UVS9da4QpZkRsE2Fhy=WwntA282JrGPp^;|- z&&cTZC5uNUlWa9bi39>=#h6)3EfaXSk4JQTKfd&3b$su3Z)r8c{Y-0pKb`q)|Gac< z`E7+g=jHuAzdW4G*lu}sng9;w==gSj?l*R=+kM{8ZsJC<%(|icI(^<>v!w1jD)#)Z zZX`Wky$M=jR`31Dl4R&dtnEK>eqIc4Pm??{=l=0L;l5Sf|Iu~61L!^7NG6^^SXH;U zFt|nGfs@7cQ_a!Y@m;r`e!b}0TEN_4ww>CpF05|yXy7ioS{iD3>b&8g>DX_)skE4G zizb7iYvO$YY(MLFLyK58koIXjS_bUE^daj{l9A`WFa$BkvcAh4FzZWz9B)*ue_i2260eI^DKXUb^ zFp##t4|!X9X4S`+gcKONB{%AS#MS*nW9pTR(x?{P;;1lJ<0l`04J*}K0P4ogjZXS> zY6chFZ}Dym8y|MqFr#@S2eymI04?5jJ}I&hu9cEyiv|QdkSkpd$&}9 zWW^uYZJ1%~OgzE^PM4M!@3Pm9^-Y!pW(T<2VQRfN=NGCQ)$Nd8<>}-9VnfQr_{;Qi z&j*jd5z>bn)NKQboJ+dGpLnnaUOa?+KJ|yuCQcy-{2kor;WIa=gt&JgzAoNybO)dU zldKTN>)#J?@3Fscnk($O;Gs1F8UJQ<^K#OgEQG2xIx`yxztRQts6-jNO9VoI5zR-~ZU%DKmPYm-(x+DzBE^u00oT1L4-vk{Z4zM< ze8%DPs?H5o>2f<+jG@MBa|kYSydA2>`Je|FhQNA~W{-f-{M;g|_ zO3sclk`BJ}-9;o*7k7-9VR~ym+Bf-EwZYyBXrvhhu(H~?nsf?DA`N}4*TpMN^xMhl}IakLpM?I-W4K02%aN7EFK2cj{~$5HKUwi{UJLC*la@3 zRPbFk9U&i4^|x|AVWY1M9S}QlfqFXlf#;=4-e)9W?Yh-w;J*qx3jE!*cfHp?+)&7) z2t4k9&sgE>2ft?yTM5EzghvL^G)06*;-W)#4NcO`c}*b;22HRzgF#jsn(>mNCbOqD z63U=24W^KzxrBRN&@Lo3S|g;f=^>*h*DCccxBXoX)T>s8wxua@l0T<5eeJx=&2jcD z(-4I11Qapm^aojG@?==Kiwh1N58K2gAx&E83qX%gwBFIU99kU7pA6t4;m%0BM6+Pk z#y&$s?ao-s0YB|;r}ME7y=r&HV(uz5R*a0Nhc~UgdmXxR+S=R0L{8A3H9S_&mQ}-9&~*wr1qO&yMlKcwv;<1gQq>G&I}kLg zGE=jclik2DYD;U6osn==?KXf;f%RUqB6jL%cRa18e8Xr&#oRgUX~=?POO>n_7J4^# zF#`QD#uPO3))Lsm^eKj)oIF!OW9lAV$5J57r&N+UM44W`XD-De6$MVaB&|gkH(Q+j zL%}jlU5txAcXHQ0b_;w9Vmrgat-@uPVu|^>ajlM!bBc&Ps47*hb6D}$pP41(cY!Ip zdvvTv@9o&BXO~|0i0Y|E-8thaKYd{*;B=5KCDsF=~I~^VVm=2 z@p>R<6GaAUrV#oHUNa3S{!$gK9a6kN+0U=%FFwCkOSfnM`>mjBEnJn=J<4gl-?;lt* zm&DUTV>ptqH3~j!*ky07r+Ul-21l~ak-QHsF6Z?$joddh`NmAMW=38c`vtjB?FJxa zfbUA8mMP^{GD0K$QEXNHF&Q`(Z^tBtHj17wc^dM1x)CR5(%Xpei88##s+7!$Q!`wP z%XP1Q^d#xYzfg&0n^BE(UBWf(Gkx-06Tsc^_hR!XQLBrHT^pa=78z$PP!|KVM?n)) zO6&dD` zl9)g#B$y1)QK)F=>1wb$>8l>WVI;4;TU|TJq=Io=&@Bg0ri7=a}6! zrZ*)cewi7n;6#7|{Q4*&1!5#ZQ(d89D;L{yiA;l^*63nPV5=f*EmdjGH*oGsyaS~% zDFGz^Q$|c?VN6Fe*s5dg<&CDr?Ji{e0g6eyMuOm`o*nXpZW(DCdj%{}GQaYWZqv8N z`Bp(V6JhF0*PwH747FHO_50E11Dt%%r9(_DLdPA;zfnp|iE$7=N?!GO#Ay;mCy{3s zIm%inqc9ZTuWZGye;0vxqT-u10j6&ObXxZh3E}wpjmc7>tho<;s<;j}Sz0iO4z;|D za4gXcP0_AnVtrbf*B{8flnkO(p-HL}Y%PyL%^2ns74?eJT9WVc_ZuL}ygsM+)fF&I z@nhz+2Nr~Zei!97frR7JdUZni8rg>4&AT8p`D$u@2hW`VZ;d0)>yT^v1(w%V3&<=h zrB+}vH{(?wgaLV;XNiBG<+R4aw3zNvcCER^MVG@J;De$Tg&Mu`JH5;8MB|>%)rXeUhBS^h1*p-<< zbugJf3D*6g&bkcM5v|QicRU0oE43>71tQZeDw$EI6~1cv1{rrnn!Q|B7|IutCDl;= zbK=Dcz&_i|XBS{LSo8Jz_YjdMjW;q>ReAdIS;}$l-1_JR`g^HjJ|VH{q!(HOYUurt zyYm3yBJxDz4jZB@X;g_#^BIHER>3t~Mx|m=Vfxv%^`YGBvtE$jGY^MzpA558IL$G- zG%qF?N{iV73hZ(%>4-1(S&#nv%l_K<>%(a; zr7Ldd16i&w>b`()py=dnbz7{_Ajww&`@aeoFRy>;1tK#vMcrs-mpdZFgPqmN6RxB| zRFD65JOhz~=RUjSF~60x%anP6tQ$!+sP{prbWuI*9Tr7~7G}of`~#<0e~^$o8bX>- z;wcc9snVqwbY5gy?j&Qpf=W&;ZwFQ+is;f3q%w~zm{fW8iC)dQKd_&$Lawi@fCSbyDw;BClWrCn>Iz@}DOhPF63g=Oj+wA8$0eJ*f-s&_ybi>&sIg z_5nD_xJFAfG?!i-NZ7>HUezDoVau%)XX_yzQ|Ez#wQ39J=$ydrIiB3)AI{Tj) zAr!)3@Ktf@LQ!ZIMo4Pgce43#44OuH{dV@(-o8EiI6XhAc{|DWd>xK3#EQ&vk2hvt zu|M)@KUfUz%2G&If?BrR6>Tshj=LqckDtgz#b!nSnpOqJ9 zuGr4Fh-oAn8$~CtcNYB=6Uz&3s~NOs6eu~ZZrKS=ZC;<l(7(? zG-Qk+up@|46mk8TVf1Py&HtJT__!LX0E&J46k<%OkHGQkX9_pe#x2SjX7yUOBuWfY zB8k$Q;Lc(zGBHN*{KA<@))P-vU_AK=6tgISC3OvL2^WsS!=N=O)l9CF{e;G6n29XG zN+-tQkWcp{2>$%}g>z>Kp2F!9fYj^$BJpf#2+S|mQt78G`yAlHtKt zaWo7|8s2xrf##L|>s$5YPG#k&o(KJzX1>vY61d)=quC?6bvnuwO5su4x17%@m(-IP zcibkXx-b$Xp!9t7^uQ)fxcCfZOyW^oEU-=*ABWSJVGdLGY19 z3oBf+=9>gX{qsTmjAtYjrF4+3geFGi-KFUK@z&9$8f+O2Q~tLC*Z+lLQKo}1^;A#I zJhoY3M59$WCuYBy)VZ!ks<=1KApitW7bfpqk+X#Di)3gb_EpsV%^8?Ki3)d;n z#-~Vy{^#JuZ_)1y8QR3w`2Use|4je&bm;%;`F{r{4rbQ>KL4*J%m1)=*8d+CU!$oL zchwH-lc$$(1}sBzIR%aemZ)7ew}qqc$G@eMe~xzEd%Z^|p@2j)<;vKOp?`}r_CW{_ z4_}c!g@^asl|Gd>v!YuF_LXD$@%`CH%lCWnsP_}^>-+nB^z)wZ<~*{;4%@M3Gm1=tVJuZ0TR2k`Yz%bivwL9lK< zj!zLW9gLfk9>J!A2K=-l|M(>?Fz6I?0|@S!c(b`-=ri>Bc>_LfgPlae@8dnR`+cU& z+7qpZKRUIV_GRs(B|J)7h1#b|uL|XS5o(=$Al&eoN?T_QA8SiZ6O-Yy^*SvefQAt9#4 zwyXP}V#8l{I|l1(v%0-SQao@s`wvBM|7_n&>{`{YXuOz05tu`~_v{~<;|U^fg8$AU zXGN0lVrhK9ZIIL!z>3v69BQ|4&UVdH&IrB7{7WfX7(E8zYCGF4+yX;)6}?KEA0JSZ zgN?iW6Dw&>x-SIb;Rgzw7R~|A%M&1wUFHi^U~hUwMztCV4J;30V9Dxi$RdM?0_eWe z$UeF3XhR(ACFxE=w0SZ0ow%aNGkgMpfo85z5FNI`k3{$b_w5}Pb{}5%X=a?hRqH{N zL0Mwup5mHOz7lS!s}5-n2Gfv%ANj;0bNexy+0N!yJ$r89C_<2G)`ZTp#OM2&&wU?8 z{ruYJqi0@S&v#MEt4vfQaSZECkeq} zG@_DYupMI(E3rwQj^^|}SlGe`n)g&V{;mpE3MlSR9JS2HuMWDwS?gXI=k>iV4&=Qf?=xk?j2PPsiSKnt5xC-c^Owuu~?_=?7tg>Cm zMwGn}rcJU^?iTqFf0^RM^r9Z7#GMw$@oq3s=L584X-XpRzD}WfN`oTHtU|}DQk;lS zaBKO0RO3T_3rcV#Q3eCi6~ujj9^Y3mjb_x;jJ?can~O?f_L>v%5!~}ReODm+zzq5^ zn-fRzC=Pw$5w`EsstHYZ&!QOR^4W$)n|Jc^OQysOstUnpSA4a8oU?s|O=ZZpiqvjY$?ih024~l!EEmS4U;aRN_X`+u)KZ!oLm56jx&8o`?FER?tSY@KHHe-#OLH%u>(T2peT2@a{@dZ^V ziJ5UKHG37)fizIY(}E^~chj!yH$mqke~mXca-;!n?Rt2#HX#9nh^G zGcX=G$KPrm6~0RZEJkz^%4$4XH4(I%**;;>>=b}@xYx77Gf?UPzE4?>mPs!VBm7r4 z_P?wsJw=o)n{_s|G~cbK{@JO@csK1{fnvT*UWKCNr7l-(xGqVPn5$st;A)&F8^tiO z2Jd-!6c+0hOb_90SfzRkrWr;r)gar6I2mRr+{($;fp1)wI=8ZPEW&Ck7|(`RutIK} zGx8tBB@88U9`osg67{khH_{%_!ybQ0RuWsxuKNl^ojdGQ6}zhHdKD*S@}=in#pjg>WW0NtstH=3T3|477r93 zHt*?KxpT|gAJfvJV8KCfZyn!v*YFUTiS~NoyQn@%kh7-=PGzcmz-cm1lcA~W>{Mf6 zdD%YYZtfWMwS1N_O~{vsp(}d61jRoJUw$I8Bs7U?agQ`WafNXWJs7vefbDz(yM259 zPN8wgzsQ7d~hj;p@Roc}dC`W*Q!3Ym&R>`+esu*0B;gXE!bhE8pX|{w# zH!3E!QPq=5rF=bF#=#`_Ei=K_QUN(Ss$YiSqUDRZRabPV2N|rp&`NZy z68+JRD5OljrRB7g;mbm6@^$fH;8O9s8N{V3V+S1wcr)I9gTmQ`-B=E)Yxa~)RJ7=* z^Gc}GoqRnE1h|1=w)H*&ng`x$+g9oAp%Kzm6WzrBE=&e~=|*cgW#7&1NwDeVNWQqO%~TK#e` z$;z?B;20|aJ_9G6K{A?Mck*W&$^dD%YJoNvr!t?T;B9T%mL=LGtzS{Rff_MyIa0o) z=sKUVU`+#8AG$J?|RW64%`^aPKC%%PSu!?itJofJz@tM4pR$={Hr(kEXTSQ5{ zlX6#*)?QthmouIGv~8DhekVzmHM^OaxC*YJvNIL z7M@|#(qQ6Pm(Hxh0n0wAXt>@KCuLl0_xSP!ktZ~U)z`hUkxlt3SxkGaIXYP~ESo9X zdm$U8DK{`;&O(cEjZGWF%{{?UVJOiR{Vir~a(-%|*k1GHK_AXf#i=WqP}$RJN3mr= zwO;vj)z8=4`mM>s(xE3_vCM*3IgG{k_HRaE)(9(B^jS2GcFy1UAs-k_7!?!Nj)PqC zCv^35q0e}C3mgYU|6C5X_01f+!qg{hHr<;csi&qAayKJWx)F5so*g=0tC&w?1~#3? zlyyq7;ZgFVY|51tz;lEwG;cP(>`uWq0t6OcAYx^2TuJjfc}?F8CjYBH>a}y4^HLy z|G}v(S{t=Q9k4!qdIx7f4$W227@+k&SEn9{;E#Vc{PB_TpBVe_5(q^qiz)S90|%Hp zr&J{f;U|VhP9L|nerZo1y_{OojCJ0b>h90#e%rsE-O_#!!|wO$em%SVJe+p5k4Uz> zVbkdR`o0c^bgR3(-_K6SjBMVHPNw?yth;MZK2MhNq+1tEmGZh;x>It+yc@NVdFrEd zWa`u3$oP+$_q_H{8r0t!9`~56wb(GPR zy`3n|x;#JQ{dRnT?d8{DF1d6RXNPKShWV^*-J+db5F!ETrT%nqwQKq~-afxgQ{>v+ zO@(xUc%KTqokAcB@NiW;iG-LnD$=nhq)45xgdI}izdE7rQO#np&&=4K<}~!sfALPA zM!UE+wSIlOI&U<_afyT=H>K;U_Us=wiV(kG<`Sus;tsY5RiB!!CN+ItFnTpbMUbY4 zpsrHC>;ByZm|+8Wjs|M}O;awIYO$r&p$g5Bc4&Eou&oUHP$KCg1`v_wX*H$CeOREa zlfIT~kr&X~o|xTu0eB;To8Ud`Co3V|%T-!kQ*HO3uaYEKSGKS^zi6$~<9wo9q zSu4zEA9};!8oGUQhHN<;3c)G{Qb4Zp*Q|CZ9+VHtNa1^5+l=%}>{9FpfgSFEK!gv# z$!lf@v+E*&bMoVTi&>G}72z;ly)ooGbw4 zc3fQFBX^^Q)Jh8_a!*75e*jnv6WuJf$>`w5Z)O1H4J^UI)oBKj{a$7RYjw<#rdi~rZAJ9dX9S)7aWlkR!sa|Hb5VcfHt-MG8KIEH@^ zRsTfF@m7AsqNG(-DD1GiqNDUBKN^f6OPkh)7?1wlst+%sG?#Tvo*}k@u&qtb+JAY4 zxz`HsXwTm$5<$Nr$@VwZCFJ!ncK`c*ArOb<-rkK}^I;a~KaIfSJb`m@36{t=8Y22G z##((eI>#lB%nVW8r1N(E;~!N+t;G2uum-J>suacjJ7p(GNtUQM^BOm4^-MZcuG(Ct za#UJE1lCQtTsnkEOLHXMV|B>qN{*JF-r5X2Zu6gy@db@e?ifdJelAtJNkR2h%M2=Od|0E+yD_9RbILq z&ayC~%Dr&7V;7`(pvhcak*O=vf-HS7|Bn#T z3%0pQ(xkbj=t2KAL9iB_zXXTnUzPo0DDIoVf%O!)%IZt*g`uOc?pf~mECDepur_J^ z%40MZuXXy|dxzQb6Y9Ic;58-%Yh89=G`T@=eWGC(c~N|=)FUXDHjNXw&+_mA{~H~X zBP8g_oyUKaW+)iiaGO)O_9}Wpy&S#34S5M2+it!*M^k{V$~;%rr5dK4PD`?9^@nI$ z6e!xif2M~i)dg2k@hI7{yUu>zjup@f>!cpUt-%yRSYDKLc2P0uu|%5#;7$`EaY=!h zP;%~>Ky@*uNaBa=JQF0Y(?cEA^Swj;3FR~tDn)bcb!gm@>w(uWwgCwtRm;I*15SXxJl_M@VM`r`m%%U0|CqR(JycN0_usi49tuR)3+D5H~D_7a3PN7XL7JX&{Y14WZBnrilUo6cYuo8g|c5{a)@P+4hgom;X8 ztEqN>Wm1mhRetBViF^*;%z?&Uvf@w190wTrWQqxTHk+ez3i0@?dv5Cr!asT-(u!m_4G~wu~ub$}_aiZs0>G$;g zupsG_uQ?wwIW#GILupbBYiKN#Uu?sjlz9pC`i$owo9se+gg~FDH(NEiuB{U*cJH)p;eaqRO9BKqg4z0G;==S;2O- zp4P2^{S=rU=0nHmBwe3Vw_H|yXWn}PwIj)v7=X`?QnsZKckXf%1YJ!N*jdfTbBB0q zokyN%%6bj$%Cb2R_PT0bET;nW-A$FQ75A?VZ9x2rvvUT=iX50Pui`vHbtf~_R%R{L zNrH@#uJwd6`Yh(1cVM~08}F%VZwwMaYmTFm5sE&?pHnZKr9h$+zEAKQ;t?q2ySlSK z#CW&y!tGs9n?=v7{j{%oTe3J=%f$8eNc`e><8s$}bZK~eiWY-HZ z#MZ2Z@x^i;*WKV#)MPt@yivb$&2U*uq4!bKMJ&W8R$|p0-U%tG^j=s~y5*!E{#eMu zJ4YKb8`ArPq;S!afnbs1Vd2k9dBmWE?c1dg|iS<$C^NA8CY!26%wd# z_TMiky+yTUt2sNGu4Z4d2crd1bs-FD8G1;&&i3PBieI|i2?mVL;-v1CY=c|{`EfI) z{^gDE1L>=e2XccU&)0}>TUIfaC|w|PgEA2(k*uahDiK)&B9)!Ef=FtyPN@cVqN4wiDkF%hSOAIF)p<8Aw#YW)*g?;WDfG?w! zJF{tCVtsTXm$Q{iQ9@@&R70&-KZ;)$OVD;F3S;DLpsS{^aN^$NDompy7kI%&roy)U z^wR@5wCoC*!81yBkQqPj5>Gl?HVll$m?1?_g{I=Ldoejlx9Qv3g3L3VfytUXC@ zl5e*x$!=L~KDw3M#{+QTdTGPI2CNpq!&@S+|6CWp&~iL%C~vGf3RDq3$c%z4R`iLC zO2vd&k|Gr*E!>+^-j1RK)%vJb_yW|L`u#Y1qCRrrF?s)Wnmu=Lz>WNey%BY^>Yrtf zEUc=>t*wp>I1`M+$CE`+%di$%#zGjsTt@#Q&h6Wh2D!}x&po4)CTm}mzm=noX1>BP zWhx8iqQn#dfHMv%bGWG8W8iUX$_z@j0&qJV#r4gY0cUbt6#;|o%Mzf!JJ!Jk4aYQcAiR?VZUr7_Yiv!}i|Z@U$ua3FoFDpqh+o0nwdKL@#G{S8;fyV|E+ zT?bQ~%Ia{++R~`;vtG2zoU8^~ zxLdHN|C*9^yHi(ToqCVS0U+i}IcK@$gLy)YxCGX?r`dl0dY3I(Ry|2#6|j$>7B>*F z=jNNGM^QSQN%(?-vZXHnSjLy31p&W^F%A+sX^3geI_|cT*UD^vdvbC&>K)8ORp*~#kyI|(*fT2(bLoGA`$!49w&Vz_ax?EJZZvryw5;5M3hC2+u zS^%@mXaNnU&>T~LO0dh7KV*^M0% zKtca(_g-?#E%Ni?1L)JC;yp6<;M+9Y{{vBhgG_z!?RJ)?t%asnv&U9vVMx%CaH?AIbXSbdlw>ux>OM0ftx z&MaUL-uPPDu;m1_`!Iqu;l}X^EZgx;Gr$7b18G)dZNiPg8U%>8W$4`OKWD1-AD*k# zPX?&vqnQL*L~A}H{oGnkm1Mswvv3?M@uH zM!8P-9pu`r8WPP*HK#DW)Q6JoAwK<6M&@;}hlpEhY6*E20tfa2f^Lyjr`m4Jy#D|f zTZkn6nHjn_Ht8AQ@i(EUg5)Nd_sGm7LyiU>*vud5Y&ZYyCY7zmtO=u#XE>GII;)XZ zvl^W%Yy+-n(P8Y?Z`9b>MZkkMVf0L?bBo6-!-4J6Hn0!Fq?~~rmDv8$DI{*u5XY)_c@PK_V+ws@YYVc^X_7APfl6FVQFfJa&!0r zhpu7&3Q2Ce{~{2LNdiTIN1pQ|Kq*3fGBTKK=fQa18nqhRF1EUokj`-Oi6+(=L5B?8 zlRwV0@(*GF=2C>Ynus&bFou2ahS1h-K} zoH}|0jg*yth9d(D(M2;$>lS-{yJ$T*57jkU{So0`hw&8xw*$E`x>?Tg`m0xCjQ0}h znWR~^D5&vSziQD23-&tI0?iloIR1bho8Y~|`0x_|vTg4o2Xqi0{FfhodBS+C zewX|Y5u#?KF3(b`J(EB$AunP&bsJtQrA%OP))VJ#5NM%eQ zcHR$RMY3aZt$Uqne^?i@61B>V3wI@wH#+HGV~7na_CI{6e8f`hf5sh7|J>(a#z}X~ zc@6=^R_K(Fuf!sLFqVXWb<qV+2q)$5fLjE|iv%-|X>4Qm~{Z=Jm9DtibPNJsSo`8wMnSN#7O2=|XPi5X z!i&W5FsmW)3~kwhC4go?rinxHhEPDoF7PIAKczIqaGj<0Y9K6}N2|mSA#)PNfwKt- z)zX40({j1Ih)ziWvrN$)n`SX;nec^=g+Ue9H_-Q1V!#d4D-`z4s))KD68@-ormt~Y{ca(7;u+>jC7M)|p>}0iAswW}PaHjBpQz~%} zhhGp9Q=}XqM8VarF~(mGP4oq?+)(Un4t5tc(APbbn+OGt5Q$bPAg=*F=d~i^d{FSA&TWNS@bq?`1>@up2O=UIMQlJe4J&kU_B%UNRq$ zqc>#R6LFZ}khiWXKF2ppsKM3lS6tnQ0=8NE9lOxpSk2qZtHfJU+yEWXl=f7w#kqIuZ^e6}bx^)3>F!Wm{BA ze)U?yx#MT>LBq43Bv(=phKZ|`hLCVPWSbi1Jz?Jlar%o}=uDRikn~rWj6sD|m)8&2 zmmC*wqaV z1xkJGbV4W1>5|I6-7g*EbbG%g<8u4{dX{TB*p$=5aJkKmMiD;RZFgGZGo%X7s5zS5 z!HA0mFUawQ0Z@he;rtBK?%wy=U9w&r#@Of3bgw@h@#^~a8}mwi>F-WhsqWlh2f+p~ z4kx$(tLlUtlUAcUb?kR?B`}s@w$C-`18`2*;hch}K~=8uYe|$Za2KsCCl43H)EY6E zEgA$SQ8y$l8!HI^Q0-+Wca%| zt5<7agA7)aOVzV#2;UCE5$_BN*nD$rqksNcxwoE2C}+*zKcGo0)}r$Es$t}!SML|d z98dgBN1T(g1m7F9TOBqoBpXsN4c(3QWY)+_b}d!j@vL5%l+{F(Ig?hN#&yyc0zFpt z@tL=S2{1!mst1?k+L0teZ8|l?k%ha6pFrF%}U7Ftt|X! z4B00*w{o-Nad!T_L2Y=ylF5$C>iz;2H^_*1);4YtwQ?@4nXrZZ$y?qOD5lPJe69>V zaN5a-KGbnaA>k-!bL$e4@NCWA%+>~=jw+Asyp*sL#5FtUIr%_i z^myx-wG7&v?xH}fS2>m;PSs8$2#!Ekl7C?8&7eB==|>-PyTGb#5GX*TlK4cDM{3=9Ni@;dLsp zMrs9|4EPCVlHVS59yq*0V`jiD6}VaEqpPx*2u4pPfq&B7ZLmiz=ckx2Am(JZUD5ELuBKp#LJ?Z^eF5RI4x#zCWgKUX-UAzpyE{ZgotR2KMJ8HpUdoddhMAtI z^QdCT@5%t_o?wI(UodX8Vg}UUCx~tB!D6>L0LMi_Ga@nM=v$62oh!_D4Y@_(TDdu< zEzMB8BfmMd2}iU`Ht$p}-hsaf1tX<{TiB~=EARs@3bx4rk@lBqTM6|e+y3Zzu&o!BXg}yJ>5&O zN~SW(Jc$x)JA{$J)9hRL8q%&Kpz6|VJ@IpT2+;zjM7BeZ)(lGxb;}TtoFF=v`&Rs8 zG*&7{HhTBtB+3q)c`iQ|&I> zsc~IGscnLThfZX`t;4o5)u+PfSF&VaE-Nlezr>i|qWU`ync~yLbs70`It7Y;=s}HY zgW*muRfMZ}>CBim>p(Zo`}6YfJ-6rW9>osC_d7xpuk9K| zMf-{RoAQ0gs^;w=->0>$b-wpZ;CE1l ziG+}-x=2bzf|_y}>JGd&`mu5j_N<35G_Vk{hl5rG`=O1n%Da+5G?V3U%o$xpzW?p7 z1dCXib^2wf)^Gs*=CN1?6zEpxf7L05Vd~>#Y;5z!mJPD^cFb0Y-I>fc$DCuxZzH49 zqg_Z;2M2*03L0u*=mgrN!7soxJ^}d#K$NASj7U)rFk$`R2iVymx0tb{!SZIlbr&Lw zj=Lk^GoLLgZ0aXe9%%>`zVC0WWjA5r1r6rpdQ`}uNfUKWr5GDW!IT9ncdJwI|5JS~L54-T=U9;~amkjBNbgr;hkSE1Wtr zH9@A~xx@QD284yzu7dAVX-k&F^u(bM<7dG_*rAia-hvejpLctV5D3ebld}^wXUGmm zJ?^vM(eXo`c4#Dwrk&k<-bQZGpq0zItny(!>X9}xwCGlGN{0ls%oJt}Ar-WuMprYt zmHqO*@;}*Jp+A94zK#DL{x;60a(sB|fP|nD(0pgH1Vd$97}gS0zi+o58d}W$N+7&; z#QKVvWf1qyOV5av;~l0u|s45=%$A3$!(<%pz(9 zBc!%rGtA@BOQ0z(D5Ow6M|F*(EhoX85LiGhNUJSjgumxZ63L86GJKU~a#wEmP>&g= zkf+l(E53=eK2}~X%n+Lh=B(9*dcdrJNd*z}-;T2xw8$nt{Jx97jP)>*EbwRBCqzxQ zV;k>*0Zlqq9#@s@SC0pcU%HQ+|3OVSJLsMka0+5-Bjkra_=nAd4b( z$nogEYy~!*g{tMy zV>Y`igw3f!(l2F&hiFNRGoqp8teKxP^jLwxLm}PP-SfL{hoMk*&=+E&^G5 z73Pt++D<4ZYAaLGRwcxt=W7m2131FN99rA)Ed?Nj{3ah)lBJW3B#xY6ye6B8q(dDS z7~xt`U{ksqNJ5d`1j;apNl z(di*wrCG%jY$iqvE6Xl}x!3`ui5RlmDq)%>{Z9ae$Pkdo^HyR2WiXqkQZitsPDRfh zaGvm`2oqP3hCw7I|4&)q5mHO&=DIcom^bGA2vtf(=B)xzWYyO3KHItTVcGeBDG z*EoV&PY#cnJBRlzf^l;vNF(9ev_!>0t&x$goiaIrLl1q@G)mt*^Qn{^ttS&W&F$x6 zFC#%+v02|2%Y>|B3PZ`#wQWPyCDKpvXK|O%?yTQSHJ}S2C+s*n8E+r@@DI6cH#VZbxw;L(%f5ux3;Dxht~(iU z+uFjy>LJr~{$)^F3^S(joSAlD-cAc*>C>dl*O$bcG*!5jh=eo(VtOjjLNVP9gP2HT zNP^g~9=|&Akw86a_@%3*RLzV=FB{eVpI@bC&)jalAO85nmsxD=2VxlwHNeyPTlx&4wO|cW@;kH z$l=WQff5KZt55UPwzz2RdyIy1SGgVFl;|Te`g>am3-$wc^ULJ<8YPo0GRJ-`UotDD z>#;nb!wlA>MlR>+vPaaNEcu{YYA;WU0c?vhHO~mGAN6dOXC5=U5eu-;Zl@6vzRegW z4th>ok1S|i3=Y>i4>_ANie-m$83lY^Wfjbl%$Rk!#)9TsAR$lGe^@Qu-qssVZ;gJa z+RP)zq8E0CHcVn|@It>Ny}r@c%sG=rw{Xte>a3PK-pna~v0y>pj&Z&a!p46jGDAmh38J4oP>&{J!&BRJ%&MrNr80ImdGVH*e z9kMSzZ&u#m$;r(UHr+RyzW#g##2iiijI6H6$rw*woNG1P?HX*9KaiZrsD47W_wQL# zHk#~U!U9@`fq$e$t)!|h48ln$qtKV}N3DK7q*((oy4cNN7Z124q_YJN_ne}*V6iX! z>Zo3~NuEf~0;-LSRLNMq1LN*!^|4jE{W{vm;6N;ocYF)Leyax(+TP4{I&_DK<>BSR zj9M4QY)8l=SQb4RzJdyZcPvt*({|HZYq-_p%#z3uLC~O0>EIWIjlv)=H;s<_MW4Ht zSNrnTG~ZjWcw+SaZQjj~LG!8AI$K@UGk3$H%Ct%gTbO1unWeJ~Iy~%Aavw~ZM+s}Y@%@)!=wp{ppf3y6@S+aQG?SB$+`}9M3|2W_w^9&vz{u%ABbvEC*pl& z5{IXp%X;Ql*)!oH=(DdB$rJ8x!4oxn+MtRj`Ca6Cy`E|V_1-Z}F?|A58UeLRU{(8rI5mW_1Mu1r-DV?@8 z__ zv>p2P#=)&MMo==GLcpOOTXjmx7*E%R3@ul8bRaX$8SusHmKn764aP_)<5uQLo+)nnIQar_J0 zLy7lF^M^kv6R^-hfVs!#lKu1c^+#W1qqAS;dx>=5tM%uH{A){=6;^(}>QH9lUzM1ExTgc!^{m z%jX;SP^r~QA>IuhQOmE;_m9iHuQ^~D*$Ao(3pj6TkCG`jkDRItT&E)sv>goO=jzTO zsH`uH9AM;aBIZT(hAgUEmK<`| zRZO$6BDN};(j09X;%>fYcEYus+M5>9`fhT>kIBq>WoYVI!d&}+w4Y%?ELsCcm9kl8ltVLoG zZYzs~10HDbGxLSj0L^K%0*jnKnoaO&p#4_U2r`Zpa2(1v0)X7TZmqh_-Ln>)7UTJ0 zQl4pSqoY={G{e@(-?QrU4kH&#=7<;&vMEOy+t(^^cEVCP36&Fwt0-yNH%M>Q9FwgQ zM0{RjA3_4SWrq7@k}E^`>jOBByS!!++z!HnmS0=A ze~$*YuEy|rn>X)WZhTLsni74_96fLR(jsrZO8hRI_}eRUr{`sC!E0iEdoH2Lpws&3 z7q>`t<>5U(mVk!Xcl(oP>$M=t8*{X`bgO^$#9XP&r!Y^cxEkO3QW-~h*qZxyCrm?K zJBW}y`3baeIWuKFa()cxL^T#9Wbqq;pDf^*GygovN zEB#KKT^|4J9bk-Fe%2s)t?1exUWj}HA!C5iZDydPmd%buZhE1f~j}7a5cFjH= z0jZJe#p&Wxr`PKb0&3Z&+kYZ;{wvm&m6e0>zeyct#{Y}dVgAS6UwXT$9)~)L2!HlBOzf}ews0pi`}E}GQRlkxXtptY&u=P+6@YV zXvgQBr>e>S0I|3M+H3k6QOO1VN{~%uXUs9X6B(C&h%aGI?BW1Du(VZGDLV2`PyHU?v`GgkU zdVqLV;R;Jb6}+!7*b=-l<2 zcxT{vM@u_ z&ZHhAHMq2y&nPN3_+%AZecbrAsc`h!R^u~<|drBa1D+CcbVTM@soi5M-d-rA&p%|m>aC0DV>kU^Nob80=h zPQW-bMgKpT>c1@TAO4YzgYADT_1_fVR6HC^8N}`FoJCEYj2$fvanKCP z&Zf3%gq(jH{(1dh0XkD=!hg*xrN5Kpzarh4|119ee+288nVJ7Ta&@}#Le{~Ih#@y` zXil4aw2Jv0NRg)fBAvx80)*s+a7&Vy2mO9KOxD_`L;-0pJ%_T+8+S@`M{U<%W`JN;P;|+^iiqmp<3~uKVj|6ieptssAQ;3GUrN5!o#7>H&^? zv@QHkxc?i&{NE5WD7zRr|AV)Rql@Xko(dZ}nf~*q|0B|tj!w=Z7KV=hASh$_f67eI z4CbS55oIOR{oi2ykNprb|I4KhnnA^0)z0!C-9W;BL8b)#?;!ry8v1V_ z{=WnZ{(H4#WoG7L|M%r`v&+K(XSrFx_aQPW|P2 zKlv}Tbp{`(^Zk^`8jY0C8gtz99kH@I?VyX=j(0RvIwI#;AU*-kE-Qy~8e>42h~LV| z9%d-QCyQ`GsmnkeZteW}BShi24N?_*=ir&6R~Nq@AtrPbl4R`2enf?dO9i=Z)YtdR z=vK(UChilC!L^ugu+&Yz8*I-FhIxt{;38h9tI9dBe$YT{a%Vyx)>cIEk$*izPuSy; zWgrhoKBfXaYyEKD=RH$nc4qx~*C9L_Q27Nau zpH4_iA;~F6SulFxM|=02w7@%bN5dnCR-kVH#zB-cFe{2~L{H?k#E$Tei191Cck+=G zXxR@si9wB^tG3AY@<&puqwNJgea~iR+#hpa+H)6Sq{Gjt{KC+lxx>Y5j5wENNc`#r z5_3e4?9aR8B{&(1=+`L{tA#!?XuQS*9eCSUP(AQB##6--d$wA0&`sdkeXIM0qyyc< zK1%D+Hn+Z-?h8ndl%81KfnU(wPx}uUG?BW+9(}c1$-;aNrM9PPk9e5v1bru}HvLGv zD4xDpy+J$1H6~wRd;$R@^X8{cIw7n3wTbkOD65PB<1-J`Fb8#wZ9#jGZqGZNKREZQ$3Sk@XWZ`F zuv(z@^>BQl@P+bBVJ^SJ@ic~F+Q7FjxxG<)B?3jsVKR=U_^)RcN)}cXz}(5=)cRA> z@_)_Koq{{Vegc1@#TXOlWCYN3ItYAWZwKg;jiyJXlTFd98M@Wa)(IHM1Jte|hxJkA z`^px5&*yYr59h6#utqw7a@FT<7=u1J!T4nR8}mww-PwBZZ-!p>><>ooi2h*n#|rcj z9QYw4v?py!>yZkOj*bDy$5dDrGr}@f*PuRlo~5T7A`I?|_eaS@s8p?JuW0#H-hC`} ziiLqVdth8Z-Ks%7+TgB4U-Iqy(g{SrBYfe!Uuxd5L~?=PdWLu%k}z_94lv8@V!L-n z-3oUh#qE0C7QRZn^6`esBZ)?f2FkNpk~fYaJEFYBXBj<4Psy0pn7Xp`n)DcO0N`#t zLcMZ6f(aIfnrd^`S?=VJ&{omi&|Z=={V_atd3mPVX)_^sIbh1Vkm35xF>M8L45Qfx z!v(;y8WFA}7zzl29{C}1cM4mVPl|I9{E;cBzv3y$*3!Z%n@Wc%|)x!?SC0azKm*UDCeuwbilNAFK@ML+8pw zFaDGf!k`;SO|hdgtSeACAgY3y)82ybA>`>rWXGdb;XSc( zj83~X9RA+WyR?ta;94*@M5$jY-l(2!u+0T(UAPS5i+eHz&?znsJjxnT387kd2UrdujuOu@0`8FNnx^J1N2ev?EMesk%f!?@oChA4ck zJ9{K>a@VAuR2*ent$`i=1fxgv;2%f3HX?kJDVVL|&%dJx#g8SD|Q6Qd4Xnbd8u>$;ItxE+jY!sWg&uKVd439?Lw5 zKJTTW?67lIcs*^L!=ie+xzg?Mv1a}`^)t@A`e!8x$;R+}Hw=1?54-T9SX3TDTk4P} zq`2qairWC;48MGEXM#359qw>zAD!4c`D69OO{>&(z61n4iiFEOtH<=1>EC$YoiWPy ziIOqjTzM`X?f`GT{joQgqcMv#eZ3|d&s{D^?6-*$P(88794~U+hb#j+gi9wg>0$%c zY+VhP_be@?UyBQuwORO@GPyrMCW4H{h9U76=#lXxErv>nc?%b zpMdnqb>b-m5)=J6VRSRrH`X9*dEuyudYCZiuZBz##0Q#$N|zkFxkqr-?S#}dguR&x z(HUm+;}wX0G0zh6hi}L#DrU8j1nviP&?ELUT6C9Ij#mid&aiR3H&wM~f9&J`&Q4hUQjD~T%!BBxRvD0JnKt4ml7=H1}C2d<8k_`tEpBJWNaUmpc zPn8NKp6WWdwpuc3xjr{_y^8|jYcFf2GHE!UkwQFaHfGaL$Jbu(9_4%kje|;IVkBBE z)^H~JT`RE#EoVc#c^6M`1a!X7oNW9N5@h*QYqo{TV-+3cu>dv-D0?*7e)pob3&(QOc{)!1oeflD;hgxGz z3wYbPtlJ$Rr#ZdPq3Xvk zFv+q#+m9)Rj0ge}FIF4+_#GNGDkM1RhN7rY*#_M3P!jNa9@7vVG`Q6<=I6m;D7%^j zVx-2kqSa6r4fU%!nuKy)*J5+=l^Pk#2AZwg>1Ltg8vI02G}3ZbQYF+x1x)1rOg^(w zbRP7p(M!=fV=v&|vfr^Q`wmwYbmL3#D~VdgjS)R#z^78v`5bIv@XNTKN)MS@Z0b|4 z<}K)&AbUVRRMg3qL~adoNgc=mtN`5C^cFkI$qJ8>o~%KUa#@%DpX__Gvz_xvAUQJq z`o5IlRT(#kN=Lna=5EJ%Ny}OMlAi_Lf%$b0bn#Yn7+%zc41p3`YV6+1+@yRT*RI7=-MH98=v zA-;9m^$_m@&pNA;_ZMzv`qz)XCxQB}z(0W=laHwnH&Z9%pXd~+sCnZdQ&wi(O$lqn zTT`1>Ur}d1r8QdGj)v88X~J4UG*(!)IVieNFCEZr=XnBls}A6}+y<I8ITSlU%(nlBDIX`XpG- z#(3F#pE3M?>nGXiV7IZ>X_A0AhayCiXlGke_rFN?BJDT0(4wo;tM zW=++Pop>VM^C>`tKkA`Om!TFFUx3LrqU@jL7O0t7zYw-V75*cL-yGK3|Eo7 zQ4#^w#8IC1y55XEY&{&lyuQTn{v`AxDeeNeYghy^)~-6hMVQF<6x}`TCgXpRVUhx)SptigT=$RqU*O~t-Iov)aqs7b`#|L5nzLiCm1B{g4N#*`^gz;kGtP32MC^vN+&~k zem$rAlDiGL_@f-QzfvdOz-?*GH0r}_Kkmov$p>|4kCBDhJMGqY?r_BBQVpDlo|)oT z`BBA2;YsQr-+YbbXp{NXQ!yPM4%}N$SYFKc1;|>oa0Gu-La-$U4-+zslQUT)nfO)1 zEkl5GZHcpXsfF*3@qpG;*M#XtTa%)U0{k+b7RgOeql8#(~upaS~%?6BA|3b%&ePpnBjiJz$o6_ezzS>Mh#1$<3j705rXx&Y1ee zYH-+ZxUy*Kc3n+mp+=2Yt1|~RIseJG-AUm+{E84cy#8?8i7)^==)39-K}uG{)~|Hd z-Nkv{y7`-$KqBYr7g9PA9ZXd`E4v_RSKkj_?X>o#-uYg|4q4x=Z6)>ne0cWDXXq<3B7G~&?d%Ff~{bSg>bZkynt zl=Q}|=ptppEQR$u!Ll4na$M2f)WRTFB5a7CeI|(Hkw9g^|63-6n1VRN0Grd z+-&@I-fUD4mMQ2w7b&u$^fJI}7L$D!wc0`1%x*A3Vlqv3zoa~GkhgEXNHN);lyc1# zJ=MNc2xIzUwqp?d&c>t)qKcHf;cr2!ieI=u=F})qEGy!ksS7$_TJlpBbKZmI#yku+ z?f5U$UnWj>f%}(Y?L6YgJD+rpnt+Y=rQELpm(EnT&?wm`9Yb<)dJ+T~@6x+e7q9DL z?>T+XxQ}4%Fd53=Tt)0vDC^6Yi=C$KGoQ&9wcY96TwCrK2^2?^xcMt5NMzxG90!%R z$NXw;pA}gYioQ+Icx~$T@d!TQB*EB%u8Q{L{j_sOlD=yG} zpF@^>z^bV$cD1wqS6hr-5>1)*l`dXe>W0PU?iS*vE!QCzs`uh|#EL`y+Ga4OdXl&~ zi~As|c;HILMz_LUDwb`{fWb%_Ql}`fmW?4!{q!fHe9AoHq3^Jx9O%a8-F^9;SVd$- z?JuA0k`r``fOR}h(xE_rgbv2RzFzLAgnQIDdJy1#v`!g@j01tJavpcE1SkM?@qn4B33sox8{WB`)d(wfF15exI-H zX{_Px7lZrmLQcq}`t@Eac9c50pC)aO7Vpzrv_3Q4xQsRaOA@q#V6FJLYeOf%B7tA>3F9ko6X{S@T`?x-zMlU3FyOnnI1vF+? zHYS`p)=iY7+h)ozKVl?eMq{$(wK?p6W^ag`qp}EdZ2wG4a6Lg<_U`48A=)K|W(XKU z1ysmhOv+x+$X?7^y=#hv$0-YK7efPB%DA9KCLaX!4t{tTm%B6OgfF`%{=h{LQo53+ za8M;oEepTD{{tCn6GyGf(!ea+;@%S6GSs?Mr_R&j4jHsM85BWRu8r$9(TUs1hY*Y( z|13)2#!JSB$9MQ@x5T~`LA9B`ZlGwRB%UQ+j6{K|3VT6Wm=|oVGzkJV@UiLAjpBC& z%Vkx%w{ebRuIGNH48!mhH+k&J(WKMXr8#rFKT%OG9ToR%%2$sW(FU2mQ)^*py!sT8 z@$1WLL)Wic?w+rM%k3-d+g$NumBO|Veb*n=u-x|X>mf8NcGOa;eq~Me({d_67xf@x zCvk_d_nAqq=LaqMF~_T}K)vQv9)q%BBO;R02Q7nU({BO?(ZSS)Y*fgNpQ(=q9ObTw zKd(qjVONx)=Ta!OXwACa*hcHH=OCpKa7)F_&ODBUeAIr%clGad~x zi~1d<)M+W$!sHgvV5oKL(-+XB|K5t0nCgKrNiR#2XkWCb+U1~$_l2HT++ zj=Y=z{DQ~Fm&+@xD?_iLhPI0)V&FkPf#0eeGPw=;HtKNM;=e?4W!1>9%-EWbLRo;W zg&@jp3QEk;R0XLOWFo((1BAyRe-D;(E4i1l-P=oiJwYp-T+b9!td}SKO=cvN@u%+6 zq5r0%0+NJuM5+%eb0Lh0(fSZEjWCl1+6WQI4*q^v?4DKbGgY zB(#o3!2rdjhUy3>HTrmoC;MXY%*vFV%s*+yfj6;NYlSZ_uyH5+E^*dMY+tft^{%Y7 zT68u))iCQgYom3lSKWA`Z8`{s#16@Sg99?(*zb;{fLj-|5EnvNyV} zH$cJtq^0h6vV0|zo62b`w;*?OU%~SN;IXq`17bJM)8pd9rTih)^iEP{H8tdBl?0Pl0KH4m>@}*YE zV)3~yM0ZeUi4{kMFDDc?zMS#QzfgyB#DcZ2m{?vljPgwTRQ1Apxpv|)Ug)vSsCJ{&LbvX$GqUGfo7OtV$jYcG47(csf`%?$)5 zn>NAeGy$_oocX740FjwpMrhs8$T+xr0fO7GMk=k^*#+;5FNY*8xX_6(y1-1DA7L~! zMYr^WGpJ1NvMW_&;lh+y@X$m=gX_+HTOtcYQD_m&~^&knG`M5v18eL*99g}zuT|p%L@}W z;Idac{E(H;g#V@IP|vO%g&q=&2nbu`u7(_W4r>qtO-eL62zo`z2|SF_pDSs>iqR6m zB#ha2v5y?W!#;&pq`;q7Q@#@8UDj9@TapMnF=mDy8%?8%vnmNpXF0dPo?iQ!x`V}< zx}1)e;fy_qJWp|2kOtSGpcL+P&@lkFx4nqTSxjQn2Oi2zu|6=J{dkp(Bp3;iAC=#e zfA|jcsVuTe;=@k*>nJ!}i98OrH_bGTFP~?HLds-K8i10zWC^Py%ZKeBz!Fp<^pVG^ z!I#OExd%C|ZG*F^eb|5Ka;CjSa`qwHsq1Bh@5ZO>R5a4H?AV!7*5;NFsl{wslv7Ul zBm-W)xQ$WX(kNwAW4VB)k<&}vd_>+k0nO;78fFOdAg%Yyj!uuo*ykpI?WESjSN0{- zHM`z!{aN$EC`W_*m#aEm*68%x{Syr?QbNpB_shUcH;0e?%jmC0<$C*U8qBhswkLXt z*L-`v7w1iW5SC>v?1N_(`8;gLc_EyJee82@&w=Byz> zsqTqt&NQyq&Ddh>jbGN(@z9S90E!jX9r`8^1^v~jtOv`)WtNv7L&YRGY1b3r?+gR! ze}v^>8)4yLPNpSyrvb?#o;9!M*`R2I)^%jYZ-pY+vxUFb?xz{Zmm=>aW5UlD`=Vc1 zBLm{JYY(h317Vw0w3d_8NVK#jC*h5Xx-NI`-W!^sT|@_Y5`3E5R<54OYLE<5ix8+l zSA=Nld%_ogigrj|L&&NvFu8Dxt=1YlZ#&xt=(rQ!GpkCowU%wS=O@p?5RRW@+@FnQrp2TSJ?$t$ivAo%+@*2vM1EYcXeasR??- z6*zMh+Q`3BP_HXP&^EnPI2!^EI+w_u5>S6!$&$VpYv0pmC&B=vCtx93F6}0jK{sru za-jq6kFZ^!Q!25iqEqJ5*8Ez?yJB9eJIdTY*nKFo`)^u812<}(hPCS&TewvJ5DWIO z+@amS=0sb>4uh~?fDe1!qUEef+R~1=Z`G_RT-`j771+>@aOeu`6}SEJgq9_|F|r6- z=)$!hS`E0HxK4HxCx~PAWco=uP61)-L>h$IB;}}*irI@RMoB&dVXSTJWvo->k3bWM zSx(GO(@%J8)GNBv2i_nLc%%KQ%YEg6^R(}x&nJB*Pp4WcOj1u{eZZrTmjjAa_;jXt zz(b{LbE@ExbKzn6b_1)N@jB6xz?P>H+5!|d=rS%>xQ#Qby<^|ZRl z%+lmIneSeT28E(_N+SV<&fB4>nNzFmoHP<+M}^V`|An}_HD4#IT7)(`Dzt_I2dOti zK!inmTo}o=k+n5ytS9svbJtd_JC@l8C^q$^Y=AUIJc`POxWp<>JK%at<##h%`?4gl z`SRwqniE-4&17uH9XyA)9Wd*)i&U9_=sFQ!BG9zI&m(YH6ZlsQn2epE)zzw0*v?mhU;Et|@Iz?4Ka2=K$>|v!*e$59EPTtT zQ}}B7E6~b7yDRR*X=t>lFbf)Hir$&o+-x*l&|(pO;GI)T`ciTf)f7VsK; ztGxcqY@jnAOqyU;)udu$k#b*0SnYK;dUCPf`jnBk{?Ng@aSgjDx_o2NHd|%*^XueY z_V-I++V%F!({TIQgPN;HcZ?Ik_H*mA3SD*@jFj=AI%5Z%NoT{5!U<}JMt`z1R6pq? zk4`MXurKO&^)1F;ZXh|;P=$8L@%dHg$KgkTJXQ}K1}vm0sQIDHdeI{1Amhdu4aD$I z8#u$JuwbT=FW@SF8&3`0wHw7ti#R$^$cBvGQeMLwe81l(g+UOquJ3iV{;O0CZgCek z>^Kyc>bttUh3AD35~O#n-VY)3K-t3hmvB4s-@X?4 ziqT`r`6%_OR6Gp8K-GSN36Pp`kdmvn6Fspl;)DnjNvoGBybl5w7G{aSWZr}wTZuGp z84ji7sN2k?!U&1bgH>~mnxO27aQ~_Yx`q2ephQt>H15xTHbKb^LwZZ!gk`H@Cw7A? zJy;mP2lyk%6{h~A)nR1Wnz6F2;H(f{tXoo}k^k5V>fb>~;1$rJ1`0GT*IBeD8!Cvd zJe3M*V3)QW;%r4Dc>?`Smz;28D zb9v^%+TOh9de?4sXLhr;cl3?)p(qCfk58_+z88Qpc!t%T!@5A!mFDyFh4KS$>i~Wx zJi#nr{*}d6L8MzIFYi*K$9@D+~f3PT{!#sD#*(Ge1QIWG3t0xhth+xwDN_r zwi1sLLTOX^FYwho>(VH|TeA3O_=IO63$Z6o32*HTh^kY?zSXsc?^uP_dItP5c4<`7 zz^l82=_f~87{ecV@pT#TZI$~8Z1R{apjQx52rh~86^j&WNix_lwb_-yig-|2ogXVi z&0jdR==*?!&E?6WvVm8__2;gG3!l_E3hpryYpp)>oF-_C6q= zn1FggmhEtM2zCg2u?^6TAOx^n*e|kIu{qA)o&_nvwuWB6i9|y%&FeKU(IF!X(rYpV zf-FeOTj(&;sN2Xl(W50D#bMbc9rK-kEyM%@Bax_}-SU6{3s~8SQ{usL1@CJW!2bNQ|hEB8rG-e zRPrfG$1c1UYS~L$1Lyh?GMf%!#q-D^8W8So0o!9t)O)Xr4m25oQNuvW(P zSEm!JZ;HQ9K4DbVdfWShIHCMP|JD2Pr%s2xW68MYxDkNyH?3D`ku4N_MnVw@E4|gO>@Rb1{Sa*cLC#sP zKka=jaciQji~Rnom9OeU>D_wH&x;rgm4EdCi0)-?x(`o!+hemirfmVG@ z5B9L|JdiNAL>@c(B3D7PTxpQXs#P;L9Wr>G)ApV3;K)06;Zv$8rx3Db)Y`_JMjtJr zc|JDIoFaU{n~mMefJm7d(E0rG=!w-ytg@ucXG=xBtR-8GQZzD^x@Ithl@JB?c|SuK zB5TnC(%R7;>vcM++vuyitc+^}7VSJ~aryLaIE54nR_wTCO=c)smS#+i`yi!R$$5WE z`*jd&#A?yG<3d5EwzY5J-WipZit=}w$e_fRk7VY_#N-?xX1M~;Mjf>PFdLnGfT-Qj z7)nAv=A+gV=kY`5MDInq|^c)x(saAa$y^54||xrelwSdPNb zUk;$ar=9_qWH$crkalxZaCXu>1H;){$;b6i$SS=qI2?f*{8c|wAbID4AH|c>QWRXo zMiNnOA?xJgbiQzSHNH`qJAqyiXL8j}s3jAK+A*b)Wl>+uwJDRCTM^dYt^pf5%m^`f zuT{*^g!u+WaL0EfQ$oAmHf&H21`7<++226aK6; z{w}0?zycY`gJ8o{K9paDUVe%P!gE5~t@Xu;XEVGdc7QKWv+7=us*+q;p_@T~nbSEUN;X{PdTJ!tv zv7W}P=t#!)@Q?LMRr}MKypN)UYGPjNSDS~>);_p0!}j}haa{C|k@9_1sd9reK0A)7 zNhthv`$k~Gckf;*2^P~rCJHbRbRtApEg4(q@)7woCDH}-rJv54!)&F5W>baK1jhKRX{dOm}F4vXgbRN(UyP_D(doXNMp`Kjdh0fv2l{iA+CXfkY z4&p6|=Sanpq_3=b(?;-R&@0-ctF7}JHavST6nXx-iXjF4Ol&fmqa6>>ipuyY>npIZ zz5p!Eh)dcpXPXW25;X53A`9Me7)BkXvju~e&)a=W9JX8L#29PV$3iHAFnqGYRi{-b zgi}F?SL$4lfb%zlSB$2k)a}}(8qZ#NX#;ovt+`dO8`qXx+~S4Fn*}Y|ka1fkc>_Ws zm_O`KB?nqGC#m|zU<~bDsw09Dbc~n=;nQAn-*wPxVObCUG(g8 zFOByXuUtRC_^Lj3hU0@#|Fylh(O$EDMn?w&I!d9HkURG={<;o|T6ND$Ta+NZIN+AiF-61{Bqbi_s|pd<6bUt&Kf8C0f7Pw>0)7Yn z`wIAq`+|Fe{DOSrYK7vJLN=~l-|2g*_C%L*`zjOr_j$*#Po#Q zAPJe=rejZvG1hkBtHy^M&0lQlV2`j5t)E6 z{{F~KMS_>H3i08jA>!kc4VQ~;V2ychXXKVQHy9BTiI+~d(;&+9e3aRn&6ClA49*u% z>+z(y-D!EmaD``UVjBIp=+G%<@z(xA?i~te6zos#aI<@e69216p{3=s@EL0zKOWP4 zWkPer7+9VSzEH)Rh9f?{$<)27%%?|Mb)Vwm-Xz^iu=|1!vcoIdFAS4f%*ZBS6 zs=W+ zNQ;IEt2w*9Y&Tkfp_R5pf4-4y)Dtz zL-Ul8bc}TM_#dp_Z;>Z#1ag@5SH#s^b|9c@c&n#Pp?L#xH74~^yeZ9f=}+TFDYR_A z6lXe?E(PtRQjevY{%#=Khw*)3oo|%c9IM6J{#yQ;)waue0M#Y`?!CsXdDlxJ9sz#6@x-f!&)e3zoA6Yv_ zY8dO(W+-&Ezb)T?GosligRHBGpJsIx$s#J!LZ>lFn6IWZou>$1$guetZT7~RqgR=+ zvK2teXv}_0zCVlHe{|Oh*%AWqXBedV-EajT_?V;EdaY~E^CH`TK@aM;^I(x~`tUV} zxz9+hfKwg~GgY>@4aO~?r5^Iq2Sk1uk|Oi&f&S95-Po=Jy^#dMx(TL2>kXoR1drVb zb}NN~9ljG}@^HKor%MFNh^_RI>{PK&?`UGek(S(_YlJ*muv6-jOg=j=sGb3X@2_I*{OVec&NDaqG|5WttJMXn$ zAcoiZW$EL2Tr3MesiEwJGD4H9K`pHP?5@@6c!>?45rYDrljc5g85%jWp}_5gA}rx+ zB%+6zjGpn`jarN4f+t_o{dC*1-q62s^AMC^_w?I(r$89)4NI3_W zUlW;tj;Q^eT$?{?O!$)Tlh(V<5qB*5}V2c=Wn!xiYRvFp;l- zzpzP22}F>_Ll*Xit+dvVyT7(rk?xkWn2*TO?(u&G>sdgNl2)}xtw3a*oSxkQ`Js>u z2hnTNB;vLnjt>N9a*VAN3P0h^;tL|2HX*hexbIEg2pBKyai)&EZezZzL!)YWO*s#J zQK95!=VqovfCj75q&ct2-xXnvX)oAs4|__>a=lzAH(r~KbKfrMA_FFsotI>oEpdBH zZZCa9ZnMC>*kOO!V3Z~iOMu@$+9l2A8Dcn0s+9g37O&J}z_1=Ql7U3Q@O~eVIG_(! z(e^RP#eKuvV`aBr_i}_~0$MGcDU**$w>#Q`Nwn|uR*Ocfx_@oVkyB8>OLZY(?Db@g zUg+f~Q(t>mvgU%@Iba=`T7Ab%97|zRVVfzV86?JRD7bBl$160eVzgntV!C3!bD9|S z)8MjsA1tE>j;fl7Tc4CA6ups{T&N}#yjNOH$>3bZkAjVc1@f#c=7r2Hmg#{>Qdp^& zpmf4sbi2gBEu9bM2s-J(T%uQ!S&BpJK#|~fq{gH5Gs>IB%>2E^2rcV`T!Do%8F;yH zGI-4~2uKEdPlr|Pk;S@apbtPNjAgi1Jw%VPT8x+!qlqAjOdVV zet$m`cW(RDiCEIAEMs>N)^_? zc$dNZp_!g+J~_LYcsLcP{lkvuyiR8Q@At#>1#EvMN@Vfmh|6YKKu#F*{O@{}1nbP& z-X1m`Cz=7mw!tdy<~Oxlwi-^6O}l-h6CvI_cUFlVu!y1MN@Y~_`Vq_MkgdbA$7dE1 z#0X57BmGEWx;A|tNi_u~9?ga*t3|oFUaFqs>bY{Vs+BoMtIi62onwDOnjQ5ZvoEYc~NB$U#LrlQTNE+mkK zi^~+Df0Y6}FudrO2ZsvmduewFyJ%>J4XTp*_zPJ<6Dg}g8zx5(S52XU<%BRLvJj0_ zwZk1#ZFj((1NTx+Xf6>q_t#O?E~VEsos6J6g?ov+h+85zun(7<=Y&^1RCYYL^5aPj z8^?W&mrBLfkghlEj{6osGIe|1r$aVz#0zDa_pG~oWIXpLUylZxpxfCI5i>($0FQmB ztSij52DqAf@Xz8_dvkkzDDB=R8;zvf7q*2w`|U$IM^Aq8=d(ym5WE#sVUXaGs^2r2 zzUK*Zj~%ZKbbO2nHs=cQX4kB+o@G2^0cfW8o9Jb!$|XZI2fTMVn_HEx=eXm)b;7&{ z;Zk8K@mRk!7U{*gbSC2{i^XzUqw_N*t9BXUoKY8r&G~XR?zIk?&2k9`*_Ot>x`>6{ zJg$qMEf*{pf$05YKd91x5#^%LiqBk4nYeHBie;56T4*vTJ=`?v;h+AxuR|5@TkJ%Z z!?rTJh5XsUfU~pN~lT~L1n_PcQP)~pJ=*aVyLDim<o7j^58`%KQ)g+1oVZ}|_2J6gJ zWg=Ml*Fz=H<0a;?B50uITG20uf>8TcLPMFYEx-&g$I}A8n7d{GsTo$hehh|pRqXes ze=WIfs3UTF^<(XUU#Ox+dZ4_?gW^NsRV_n)o^zh)1E`@XNK;JmZ&NwNeV{Z4-QPi_ z2G_Hb#X`k&7^0C<2E}g1SEz$75Co_g#rx?tawTx!#-@a0&c(i}djFqsWb{Q zH1ZX@=f?n57Dbs>rujVynYy6UIramQ*Hij7fdJ}Pp0Hg4q{_0`fCv~*VX?1ff`pWqqs#w4jd@)5JpeRVG>hJr+oY#azh-cz*^*zM*dQRJ!dSs#`OS6TeT~ ztk|aEoSspfsrqw-i-c=^A;15nmRLm3(O#@Q*_&A#^_Q15k7ECUq0;{$QJp@*(XFZ* zT!FTn@A{ezRFa%K*4sx94)^x{`U4XQ54K@-gh2{jRLqZ%DASlBsIBh=Zv9u}8t~_H zoV1aSK^dnohabW@JQbxa zN*a(x9Wr8Y^$$_z&=MwqF5Hx#<*;&eZ4l9Vxe#ZvS?TXfrGj0E-&^?#knO9}OC>+H z^A6!a|gdi790boW7_3BZtj}75%9`=uk%VH6M(O;j&Y$f8N=TGbB1dV>#OZlS#^mX#Z!BSEmd?_lMyuuaeXxF&Oj|%OumyWv z+8aq06mmL(OT1185a7nqN@>X*GY*0z5RFm$LrYOgtERJPv}W`i^=>pxG)TIQw*s7I z?^14hcJNR{W?#HoC@@0{%2dLjnw=RS>?qJ+f&@&WAdB?7*M2Q`0$)XXwbrjT^VN>=$86Xfg4=uA!q`jMW#lT3JL;uGGPD(1`;qNRo6ljWf8A4 z0%AeAGyAzSln-2^z%^HP;cy11ert=dDj#sh!^mFv`|R2QjKdYTXy^@eyEBdhT&Dw&W;`h2TGyZ;5VkKlIf{weh3OqAK zP)6An_yM|MVSV09rK)ULXDy@lOiX0|UG>k+ooy!}Gt{Tc$X=#uuXm*CI7*;F-K(7_ z@@UxjwNBRh<9WHYk8jd&G>eV4`uk@k4rEEs$i>xQ$|LEL?f~0)DXm`IQD4=U{~4!@ zUv`xvom;bIVC2auNB+Xms0yR}v87Cj9O!1m0C=QPI*^7$?k4CHS zH84QjV?(X)8k?`DsFcs=u4@SO5doLk2iDl{usS2Fhp8=_Lx{H!XH(*NBF%zOZmQrc zUc*<)Hg*`~GcWh1C5;_tL2|-=^h`5G2s+6~(2M2@x+xGg@$1_!hu z-7JF?GS4xY$APOmRZM8$R-tf{XEwE+YGb48K zxulm8!sUcVHX%KydApPgY$moF*Ns=q!U3nM+`bUaLgXGZh2?>@Mo>dCa8_(T101uJ z<;rSvgKfR+4Txv?M)bi|$zrEwUi+}S;|yhMYPfVEyBW_bCcBYgWk5}%wR~<`eltL|h$r@T?s6tFm2pAhXE$NO_dmQ zUToYNZb~^WUlqt7F;E_k$3Zb)vb@#uHC`F2$Mo7ES7f!W2lp@e_|B||*~4An6KJ0^ zP>>^!Le%+`++8LNLS0rCKk4^lXnTjWTr5yMw-LL&s6>AMd3Hur{oUX=;6;uy;2}MDx=nYh zJm2W1ftQZ2)CgVt)b?RP+@G>Eh9) z^D5ZOf55DtxPZlk-W-xgzlR)%P%PJ>XzpU^CNJ4)_&b{9GKAHElRc-_!88BB8F)#Z z@YvaNONM&HoPdOo07FMq^_Py=%;b2|fv6p|!+s`GrkT>tsviPocY@c;n$vV;P|d8{ z{ILSb%|XbIdv+%24_c&6xSz>Bnd- zj?N%;Pn&K+h8&uP$inY;o=R-y-YG>Wh*E2tP=MeG)I;T~1=7 zb)Cr^*a>|k(|CgJJG+%VACF91MvkO1Di3M-X=gxXhvL5N`B<>7EdqAa1LvO&Iw)>= zU^|n|xdszUwAfVkYi^Z973rsU?cE0ECiX7G-s!L6Pw;DY*pa9QMHzi#&K$ON)W@_i zG{|j}ScO=LoBSl(&A4m&XBSmn(8Rf_g|g#TFW2-wiB=vS zv5;H-{n0L>m^8GX)6C88(?KR79ht4qck}LwV`6pO3ty*Wk>2w|eWe|8e+4!> zA3nAHAGkQdMhp_TJzVRddFv{-ZSNhRVR7B_{{7%F1`}PLFI7g$sVvY@49Dc3*U!P>{`FGZatcGvl6+BgEHpk6L{H55jQ) zoMXo_+-c$ycd}BopcKB&HMv~)<7zwWtOGe_tjrP6Ip8uLfG(h(FImqOoGlUOqw7gB9}%BJ<9(ux)nT>-YR>V2@HMtO z$~X=Osl8b=vawZ+HG+mBGonrz^}VK0o|cUSnodb^SA6O%0e$GZvJDJ@2+#G)md?^l zE7{JVc@p#dQpr~0B<-Cfo`&AHsbnfr@X&_ii%)&dr)}Gwx9;BSZO%KPd5oQP`WlFs z^V>tNaTkM&erM9*?3<1#D-bxs3S84i#`(&-mBU)fr!^MZ*Tk&s_MR@;Lx5r#(x=|- z8iDRyFA6|jLH^Zl&0CVE`Jr%PyVdJBqL|W0tEcnb=mi@2W2H&Sru_YOyi;|n<1Dih z*RiXKM83I|L!)tT-Cv{m@#-8-u?ZtRmK=%lvt2d13YPw(ao+bwOsGZgC7q$cC;TEM z(&3U*@h{b!CWSsI=(*!g$JODpB12%E!SP~ppyN7^(qSnoqni|e4o+4EyrH$U-m$#^&1l449<2%2bRDoYWAlpKogTH9g2NW z8%pbxdYGCTulhi=29c7n&}^a9v>yR}j_PF(=0L~w#Kz9R)27(QE6{CxK2;YqK&TDW z6U!z&%z7UUP1Y`lDaO9UI|N5Gy+0+1Fk?OBhbVwH(mMNvZwPjvDPF;>45uUb104Nk z%AbS$tgBk~bOIdRbtrO>=p5v4odfRubhU01zAJk6m;>(JeQMPS^$uF+@%2W_Hz~eT z4U&Cv>g?p-sXKNc!rtUw6uP@khh)EaK(e{^=yip}cVQ(D<#+uV?00gB|DRPUSkyZQ zuaF&3Z1R1V9|GlZ?N1ueo=IhLJr2>s<%5c_CzLVgQ)z1a`1wRPRbI6TY)YyCDP)*{24Hd`hS6qSLScwS-p z!+g+upX-$=?FW0j5IwwICE&Op|{?(C0_iE#0n-)05`HA zVim~&4T3i`I>+;%VUqc$ zHBwcJ@~?_OBg7PVX#b=jBP0OUI>Qql?z8=&nqO5`-qiC@#laM0eHx~wj#>22!nOv;k^A1W(X2CIZcxk!Ifsl_khBm2z03!79=xk8!M|q!$txqYUuW!y4xX&pW z;KHkXfy3d+TirotY?)*PKE%;vV6m>E-{EL_;+7-g~y8tW`|5@XEZM5pMOT1!j$ zZQBe}U9XorRCUA{U9_IfM+mB2oHr8Me1~yuuvK;qAg~gbh`Uai)=3y59`;{pcudxL zu84onQ=9ptzGQV7zi*@-#s+i8L{wpuc2@@3OKimg_spE+G@LD*=@NYr;S{M|8h;{+*NxzvA6uqG3AzjND##$MlvnyAH|R zKqe6n8=-w*;ZPHyA$7eksP6Hyy;~}#d0g-OI?|v+yJ%WwsP4?^sC)Mq4>ebV&}Ai? z8=uNU3tQ2f-Wl+a!zzdlRP79rofqc#GJx(&)!9(#DRhyb@?NcsjQp3rF>|^mzxCd% zgvTZBTGfQc8%4v_MI?oFS)5T!9ac9baMk!WCw}rHa<)55H;eeaXTT#kVH=``=P z%N{bAt=20i=lSPzB0cwtoB9L8u|B{}3EnKQ<5jrXR!!AA-i`<^X&oV4n2??tCn{*k z%xt#W#lqeir$B^8Y6}sPm~B|jHRw;P%|uEGxD!t6Ito;IXQ1GKz2H_griYc%(-WAe zli=mt$l_K!0`(>iSkuw!fd| zQooNfJo@V@-RLH|c$k6_S&4AyNTYnmI{LzJz%KqYrjP)}BDXy?*8GURAeEU=|KGEz zKY!eaO0E_Se7Lbh%~m{LLju9Ac1bKj@U^2$@6mq_N3~T57j}?X9UOQa*r>-0jAX~x z;o=1Ur6;Tq{;SrF;TKZByR%b=5mjlJk^kyU$cD81VWYf-pc?8={~IL4hYSc)VE@dI z2Mv90Nlfkd&JAyvpgs;DCrUuqCk6v|7J?uaG|J>mSE2|5E4Clf*Zdv)1G?O2!c!1i zux=5dh+jJB!{g$POba3~fZjXqH$9z?yHuQClyAzPIx?7ieYhcl@O=FP;Uxg>%7BQS zQfMN_3`L$9Rll@wmtY0r(Y~>j_@07Kd(~eoPd&i$VT1B0N|j=W9f#-#!}N9EX6<;5!=@ z(qs>Pio0ZZi}$p|jTI}ZiGevXJiJLr5NDGlvv21`w8-}R7+|-_W^fUEznG6>=q23o za-hr*bBeDMJ~-c4X*=?Wjcx7C>GHR%v!#;L)ye7a#i_!E&Fa?D;rZEVBkC%&*`*N* zOlW91R2+v8%n;W|0C9s1nfAuEo!-SnSPGHWoEF$eb1g`eM_Z^PoN>Bm_L(rX_B zIaYq?VJ^p2jq+&;2E}))58rTG!$7Mp1uFTgj1h_h1sM<12yx<|&sP&1rcd4AL*A>b<0!9(hPc{;W*9WgEFVrC8*NiYh^We(dsJ zGc0;n3^P)TFfZCxWDwYl!YESKuk^Lyfy#K6k(_riXW&rQ8 z6^NVqr$>_;H0f$*DQu|3))DNK<O!mv2U{G`1<^7~H$${oRxt`06`6u@ZlC_QT+D zF^bc;XtLrsYqIIA?DU8u`ei>zK`?|6G<+Be&M#^%JPkL#rW-%AU7)%DvEpn0+wAHc z16|{MfsPUGMl4kRgV0ZObl97)55BG)<<7p~w6P{x_loC<&7cEp^VxefSt2`8|ESSZ zs8xN09+L@~5j#`R5$`f2|C+h@&ed4%Ih4&8a3xob?ZN};cxz<({YKGLegmsTW#exP z+y=>pN#;t+(z5jiLvB#(PWyWA>n!HQHoL17139 zrRpK8f&A{HZN%XRe)WSFs32uoDpWD_-bJ|rSkD(*Bwj^ z@gaPbS^}HOi*|((c@ufBEHdq_+lE9EpiYM=oj6&XC7Pr$><)u7fF$r8vQZyfwTLU8 zg6uBI?~_1rjs)p*It}rJxZ|j*~Z+g639=4G{hAV$%kSz3= zrEc^Maa1{atV1P*CUb@l%E9d(*puNO=AGJ?ktWX!DAe9fDEZ*GUZx=ddXR znoE>dGJRikS2~^@CNw5KCP_3dXfzb;vsT(!x-8T+~27iy0r9hGduRs)|0GgmXP&^os43BVMytt<@gJ9GP zpNjDCl$Xul8D;{aj_Y+|_%??W_f!%Q!97HptQqDWs@}A1FVT)r0}z|*nA1`bW&y+j z+bxI}V+uD&p3;B7p}8eOn(%BSu5a#{bCf^C4RDtft_x&JQv6)CIno?j_#Oj?XB^?P z#z)8q>%`01ds5WeyY_i#nxn;k-(d})u8e0hW=Z^Z5uS=9#^v^Wh6GJKSAm)k&BU27 zf1d)iLxexnK?jkmu>2{NzWALH0BU1Un>W^1Yq&9c#qSAz3A|3wkHeSfZyOK-auS9Fp)?K)SQ#pC+9IpjEg8gdVdiiuQP+c30{^%>-wDQJ<@BHl@~;X_=2C4VJv z1y?M;7NSvyO%{bBgGBKz=;aBWoFLFF$D`s_K&Q^8?N(wZ&tS@NEHxdRrRsq4_Xuk= z{7C8mYl1yKaevf$ax3M0s=j-E8J{;cFNZHzC~*z+)etm!c9Q9p(aAfi20kx#z8Te< zmVfCalPyRER-G4g>$`6U!hrF>n8u6IOw}v$;kO;Nqa^DO0mL z-}vLDg_@0~o&Bkibk?W^`XdnZyKj+ML?OkjcA0`+J~^b1a2SA309!T)^^|R5?EaGv z-_V`D9=s>Caf=sw%zeXrR|EMAIiuQ9T0*bYa2Rt9)pf^``If2)9fEHxSw=~$vNc_B4c z??_%t23}Y@OH;Trk6TIWv81nJ^0r2!kOtVmd}q-@h)b?@L^XS~sHFF%2k}wG6WzVE ztTeAqP|~NVW5`e8OByi-Y^VAtU~Dt|m(X-rK``mQ?<`7-zYKuT4T^L# z{UPvlUcoB&4T^IcHD2hW6iaL|%X%%$Q+p;giY@(F(o;3@Ke|tY?B@wf8sP>8N*cij zP^)(F7f$mJf-dX~S56lK( zX+6V zlG^?8GUb7Q9r4R~BJtadX_C0+jA;!R&FayQaCAHURjXzEVTDHZrym5Z;$&(Q_xDXo zAdGE!77H(EVOS=5)q%bkih0n2#K%GMl0nN1%Mv2V88R};1{}&GD@6h*c;R4GDjc84 zBiHy(t<{S2QziS-*Gf4?9NT3BH^?Kj-XJkw57N7j%eT-}`y)MjZ1D4r)jzs}V{qAZ zow#tz9Nrrdk+vm9T(fLOSS?1d6`e`3$pIE4L@<)z;8?PtV*UHgdW_hyi_&Ai+hjpq zICw6d*sm(H_gLP+!g%|g`DVAK?MEOiV>y141-D%r!VR>KBUE>8*^6SUHuWPoUAe#v z#N*$b_~VBjb*J^`jt_|mO_PImtly+h#hpV}cx{kPx{Ptc~ zKv!2+7MEsD*7vt1T}i$m;gP+jKISrzxDHlbtq58K_c|r5i;SPxITzei?Lwz1oli}q znc7>5?Wr!LteqW+?cJ?cnMp;mkCIzsr~J#@c_g|3MQ&o`O(#hQdFRd~s&kVq@5@6x znQ`3g1T{bp`RVWDwoV51;a&^jgtO~UA!6KCz(@F}Pm7n6=1WV%@;vnh=kW<#SWl(n zk>TCEu2pioM)Ldl757_0Y|WXh2b-O_vahSdCC=X#Z`pDDmzbJq(!)Tn6Tnju6IE!3 zi(?nl<{Es%d3aRwI0XN&m)DPUFHFlrqdq{2Fo`tq7W>+|1;S+l2|EJH6b(Vg5W(3f zi2@Ie^Dp_(J9`3;K82GYY`K#|kWrN2v_vEh)ZnnHtVHSMWdVh}ltd{H3w}EF^Ze6H zlalkQQ(E-}-Mt0H1SZDb`sId}n5BdejdnPuny&%e?vGf#1O-jefwzu_vl@^kZH{&S zgAviti9|$@3J!FfLqrROa&9_0wn@hp%QjT_K0M^3W^TYDlw0KKxr7hrq zr*_-i=C93V#WKg6BDW|jZbtFBA-Z;E>@@xb8EQ-V`58OY$OAsl)_^niUI(1LHupBp z{8D$P_Sw}IYx>Cg%dzx)S^_k5od%pz&8e-f&Ts4DV#kk+yH1MS2KrM3m6J1Bni?C~ z^|@yyK}|u--2Q|kMPWYF$nv|+8ePSgq=&FH3ZRarj_jd{&VcM^(;ZSA*&PUa78uIq z!)2k(CEAPpEtf%+R$q<~BFQkNbZ?>wvf3#>M z^CyP#5Oo${zZ^PtX(!ctJ|TK?Uv%!t7o19czwZsUPG$FLzF^kDHcx9+N;GGhbj*}X zyiL7O`8=;C+o6w>PD2=BQ8AKC^!@pD3qhexeGq8~^=FT};#&_`Y4&LbhO}iyeyGyK z9LoQR4JPG5Eox)vszL9oBS9QA(2th-FtGi=r7yEI|L=r=f1>UGBSSDSF|siH8&_cc zw_@_2aKOJ~`~Ta95cm%pLdo3P*ipvVRl(L;-$qW6TEN!INLuN?bt0IV*}k0!I+kzI zfsx@Gi(p{>pP&df_HSn3-%!LqGQ_{3h<|qcAEbzX8M^;(WPt78CnIHSW9npv$Hc(& zzey2^6E=Z#zl5K>f>SREfH(9o$OXm=;~P!{rQd)+Nyrrp;{;J&-aL-xRnCB&xN^{O ztMIk2SvUdFrL=2p_P3k3lqI`CvP{B{t})C6OOB}*924F{u{$hd*q^rZ_dK`@ zX>GQIrN%pltTQvdZ)LgPwNBp92JhzHx1Nmo4#0e6&CDnE9>{)Pl<(#?28Q_3uqXCr zLHka8Vtk5N?CMHTzheW!{e8!Hz&}&4Vcw~qSQkDxO`bKc40o=Ha6eVj zTEsJ~3d_rb|J{gY|M$QBpF{Wm$GrI8M(}^@Uod@-#s33fu(Gn^v9Pe2EOOpLYMsGcZ8?voFJUn}5m}+1UTp7yEbm|MvM$ zU;lUcr~V&syp@9(mI%KuN zCg+;;I)iEFYh0xx%L{8j<65XNoRur99F zxV#g~rPZh|DfXUS7BKP2btJ`mRM(m5?QL&=qH^VY%9?rc+Ri;RvIaD#;uD^U!YI&m zTbR$Z!t*$w3Y<^})m_dzTNaN1F6;O|*#zDxQ=h8Z&Ze(z-?0TcaPbDU8~4WlrbfDM z6B8Kudvj~JugxE}E`BWq%wEcg{H4q{@U-WKKZGL_RncjFd~LD}KpwZ;O|Pe1(dux@ z{z6^)Y3==U8sND!f9|=B?7l_6S||pYzuS89 zlG8Kc@rl#>4o&8(V*}D(HOAIo+zJq=iNoFso*UvBKpe5XoS#aDLE2u2?E9`sMp!PnWStg%q?kvl;F6 zGx_t!8{{f4`7~Mfu1cZZZN$9&FdXWaos}qA#FcQT9BB67(uuJX(iC58es~$kR&+^0 z>l}`e$oXw%n6N$*I=6oTTiDNxfXy^P&RK{PgT+uDff0G&DWR_z>zgc=#Xzm^7z;=- zjB}U819r(c%>}smgwGOO5XSht(M9%9+g;sGNbT|k4~_G0a<4eAKSd=x=a@#lqpHYa zSn_QNF*|@inw-EjF!(2C_n$@H$rI#R*N2zuL5i2musFiDhZyl!VwN4R&*2IvcWXrJ zFmb89)m{l-=_BWiZ;CSKE@$_=PsqmTsyA(j^3gD2Y4{{}FJMnVtVWii6e3bdl3n;N z_fKqzgmnzv%tWE2MI~pmPxMcspJpK+z*u&;K5=|7T6A}K9j^s9ObRULz|%AVf!m%~ z+<|cW7FyDqgRtF)BH~Q&)7GM~{clf&hHfNRgQB;RlZ9F}s|pTDS;f355@o-sgHWyn zX#a4^>|$ww*y;&PYOwUx99oFj2GDB7;0TP&(&k}*!F_y!y+YIkUBVw|8Y!CdpN7wi z&Z1C56^JcfuO1IlBUb`{K8Y>)DW`T2`~0%8nkc=D%I+885Zdb<>sJT)ohMx}&goOs|<+*<~{8pHa0LTeV zUg~w;)`|J^73v)3iS~o%1AEkueugG@Td+S-A+BDcv1Es0t#)O z23olzatA~8JW+7{FSXzCKheG*zoLI2AcB{%OY9LzLfsTs8&RZ7(*#(9se@?3pAw$y z1>umsjp^Uv7@8JHAvY$S8oSW(8t9m8>-QKyW|}lwF>>Z=|Jm;EnfeUy&gGs0YiF?` zZcX%5-(OfXKQgbG-KlmHb+)x5T9g}nLf3U zQx1|>`jby4p0`1UE7dCk@uKy%(Fk@yf{8-+1Nq#@c9(}g>>YzSKKhx=J-I!&z4!sx zV$Wp{zCHT@Rm>n3k@NMJ$zK!)+|38ah6pP`=rxWjCJnHUPa;?DhbK@6&Kjd_eb<86 zG`bgAuipS@t$kBBa7_HvIA9+PwktBYJcP|ij~i~WKQp_4ef^+Y#L0a(6#9NMSOi3y zA9GKPBE#2o9*g1|VTOa@oTK6lvFcC6tbvX&q= zca&OzLQc3hZ;C_5=Ff!epTxqnTxpN5i6Z)IzQK7*3#OH!ewpPlcG0 zA8zb7A1*t&ps@RtawwR7njQJpnS45JVn5w>f4iOY`h9&NwS7vxn>t~q=$T*(L#E`k z2U>$nFT%dNVp>heqbA`90Oqg`e1c63Sp}+;HX)ThIh`O!v%Vm%p9)OO00a+onIS5Y zed(UNF`*F)aljkaC(=^0B4+oXX~)S-Yz|Yqm^WWxDi(R%6PpZMW-$;+YE|B|!W*6-9Bhv%sj;K6U0q>tj zLbEy0D|V*7PYgFx$|X+U+0e zAO|6vyE)yf+(4D+dp4Hql5xwR(HM6jq^+>-{fXw#A!%kC^M``is5DlY7m0_{6%W5` zx|zDHeg>1mswF%txZa(Mxik za#oSMT_4~-g&^*&2)ngaJMX64&%CDjv)CsKMS_567UgfD(r|rMNyTPo-$dd6&YtI{ z1Jm(>aN3<@|J@a7b*04?#5w>?{EGdMIj-xf-WI*=q>B%G+Mn1@2~l$r;(rSVlOMS} z@z$ktXm`qX*CGz$h#GR3kD}Y=cxv>e1A)qcEb3cr5YSAXzfLr}`gBR+BF z85Y6Tx6sjOnstAY{@G|cy|s-E&cTysK{$?B8p$K}lU<;!is z?v$uoQo5(d`XVzVLjgb)`zG>&ImHM^H><}YVlu7GM3?t9+)mzC1rA;kR7?)C1tJ+L z`7zO-@C%1+lFA4L?xD#!7yEN}KHj<~pWM}{U$kmaS{ZNobk(lT-(<4zu^W_E)CZ<5 zo|N`V5Q|gF$IFl3BgX{J;G5WLL*3=#hmj$`)`_O&H;{O4aBq46-c@pZGh?*y@3I4j zTVh|M&@8m;!$X)6o19~W3Y7uTV1okHr~L4LLs(k9fk&>~E`a=_ctQSOk7RP!dgak?X(&c4S(#dD0E(7V@8%01(jdkkj|cfYCVN1c0+ z>%eohhjRcy9oPb)70A#!-oDwLqFsBP+AdrJb_MssFh!B0Iq7PFdQMC4%E2yt#pjp~ zwuuExI_7Lu=m?czb5xK)lsik31&JDJBtAX1bw`ih0sR&?ME*==Qaxr0*8$SAV`m;| z;CzcAK5>tqy0GiO&K_-&)|G#tZ9~=`h!VLL^|N*^+r{t+*O6w3`Gn)tKs)y!E243L z{ebKAfXKA9ab)ebB7-fGj=z!; zBRK*jp&Hw(ZtN2K=EmQ@v|zl8$^G7ZZ4olG>rf~|Dte)kxmdrUG^)G%;8VAGjc890 zT{PZ4btHjG$9rWqgR?REN`5pO-l|dMq-JA8FYFGZfwC+P-fza12;YwxaA_Fr2bjKs zD!7Xqf;X`fZ!8X@x2wx1YI(1n+SUVf4t|ETn<=G+FKXEz5e|2>R1nzq5kWOES2_ix z55V9SFBVlUfgBY0bpSrDnh#+X!PnTT zH63gdFs)hVi;XorV8(W-d$8AreGF55GSh}Q>gwlDOzG3Md5zjkfs!*5h~tFz4&yu! zt7hB37j<8JPaq$4k9js?Ux;_24x4Tg*RweL^n0*2V-E$+oIZNyYU6m;df_udmADJku711aCJ@oqCrcp2!M2< z&c23}aATUA9aN=x89yGDcy$ohr8!+pFjz*;3Mk!)uL487AWQBiPvW>?3dr37ohkn7XoJ7M ztvMk(}ulnC|D!GjRd5_y%GeEkq;4Nu5b?J2kLzPA-mIfI7Obg=}#ZUi!rVVyR2oeJYyy zenGS%(>t^ZLpJZEzloC0c%Vw-vp%2N4k-~t@&6#T=BZzcD1SQvV6Zp*YVeoXt= z_SOP=n=L$tjsBn%m^z6>>*o4!KZvNEn`*&j0+e^fU*|cUI3M680IRju3#h*w9CBdrUgI3v( zHA$@>$THwZe1h|1b=IYBf**#aD7w@9m%^9U(+Re#6Ze6dN-I6P@Gi28*=2aZH0T`k z4*CZDJ3{w`cU2j?Izb-wB4845nIRj_Mz*CNj69g;x;m+lvL+-?L6`6pu%a&z*nMR# z;HA^wqc3EjDy{Cif>8+ATFeqX0OSJ3p(dU}q|8dg<-|y(oxPA2?d50L%FnXc;1xD_ zg{_d5Z1kR!ip2t#Sqh9`g7=u{15PS76THU+*T61X)%_bB=fC`*Z#rQLTEi;G0fFgq zyUTMiPA6U1TI-@53S?*`9?RFkOf7RPGAPHcyLifcDY)c2Z@zHt+$&|L+a$~Woy*!5 zEG_zx)VJ4K1)GuCQ+)lqSInqtOe8Z?Fa7?;9Ra-;Prc)=3kz+R3^o-O^xp5b zm_=Y&s2L7CcNa2%w*FhiGZ?|$k)Ft4WOw9bgp(p25u(sTgo@LenmRRYY$~T!xpEqg zs;QFkc$%o^rbQSyq><95=4AvU%LMJk=A6t*sfKjt_YK2{U$Z zchM8gm6hX)@~AYw;EzPS&GYcmd(x7JayWw80HquT4rf6Xc%jl}>v!VEU58w+;x}}! zRD7V**#4-;({ydF1 zY=)NEELK>2j2=7KZnlRVWQSM{`?*nplZtVdkr>a3>AqC^NEhv#nL~*=GePxVwAUDz zzBkBD2VLj|WGo#;EbPJ3(ZclnbJW(eKjAOk!MDeCg zoh$JeLC3zedfnTb-rlfc<6Cp`t0(QcdFi)SO=b4%+_L9}GyRWl`{B2~x^802&KqAZ z{`>BKJ9S4lwTT-+)%iP&TP@ZqO?jm2x*f6^NessG7uhS%t|I)9j~023sg_`qi{_v z?@bdPm@UA6z1tPx2eo)ei!A`mc4^<%vf5$tg5pcoRzxa;$&O@qvM0&*CkK;5Nd_f_ zBuT2k%;Bm_cGo?&iB5zRmHeYB-=`@mD+DlZ&DKI;Y%0*n0ApwqJ&cBpdKkAKa~hHJ z`f&;i&6HEaB!NF*N-#K>LIddNbC7`Y9u*S6G&#TWYm`unXFmL$nO90Kv!QxYahzSL z)w2`ZuUls@SI;btb4;nW1ik*a1v^q?v@@@eJACd~uOp~?yi&qLHdo~E}g(@(96JqQ;Huc8Uv%~fE0B~OMZzC z@6zqj5#46v6&vVat4t5kDH%PFpJ9|$CT21dC%&v6Gg*al_8Fy5m}jBy1QbC>_AS*) z!&tMAQ>37&n?#9glz_k3PFbk9dG{k{0f z-g}nzJ%bCMJa|n`9zUn}5RAm!;tX;l$U-}6Qo{FIAGea*j9aZlf4|O(?#FhFkY4wM zIn)87tlu&B5>*V1j5aq@`cQiVC<2f*G>YT})Bwsb0pT7X|iuW(=Qc};vZ_n!D(?j!M| zoY@le>Ew_U^m*k_Cg@AZArkay<**R+x#X}M^u^_{TNFbGJCNu>*dvP6E;1pPb>u{M zE-Pkn7TWIidOU8T(`pgQ<>Co#ZZQzGCz}aLlDu0Ul8?&AZQL^36&b3+J&w!yJ!YDVYUcUSmlhun8}1<-@+DcCdE;^i8dpu8L=#DcPg6X9ZW-G|J8Z@5FQ`Q*#1GU69rykp+ zV4=U|3Ed$*V@ugKqK&n;p>2k3c}<1Q)g-j^x3D_@EN+&jO==6xYEoLZR%rERUP7Vi zc&2{3VS0XMLu=FY@e2$q44ZYg>Tfkz=DKcikzmV`7SgS)MRm=YWL4dB*auP;fX5-g zmqB7Q3I+8-T^vP$%1 zl=Me&^f}Usc;p2983cTRS118rwouJ0=7Pj`@cld^@c1N;J9x~uPG~)+U{h>$Y9ytK zTGhl+-hjwZ%c;>5UBXB&Ku|cFvKCZ)n#zKbRiOH}$|p0NYNWqk*Rk^>c=m+A&`9Y^96HSqA`+PW~?L zYWAeN3)7aUNUu1BImO%!I`fsv(>ej5vpIE7lGy7quI2T&aGNzmr{io|kJhV8IlM7l z#1`?!QjNIYmiJAyt<8<*$p8L`Ly8FEMrk+E` z>ORthbvkV-m9DJRV=W0_yT=i*qjXIG+4R~a?|=)^sZ>O#b?8!+a7(3E z$Lh6dNIiOBdttlH24YteSFKvm+ITixsIb(zfiVsPSoLk!;}7*G^`u+hqyLMZ(Qj{?JMB2V2jkD>NG)a4E>zuM-tGc<8|raJiCvGe4bwlGiBGVs?>sv3rrY zTD#V|*7lI$d*&V1XAIAn-{9VGzb<|N;_BJSRhx0i-E|0rHSl2k-Li@et1u zrWSzeo%=B{28QvSiV11{W43*XeT{vSowW~RUa>3iQC=h|QoqD>OS@sbJ$%o`GL`yuuBlxbb5#5RAGN$WlKh-rtExOGkz`~kaMtf5y=P248Uriwe*J1h7+$;{J2=trC*cGG6 zg#QDP|Bz+Sg^%C~$cLvM?B{pGHx1)26ulD0O1L{r!meTb)ggiGma$A9qUa<=*ewvj z6FP$L!Jn#qe4-1K2%)Q)9-x~?PEZ|fgc{;TY=!Iyz>u@Ej3N+33#yZ*tOy%cvukY2 z13g?%1xGnL=4GBOtHJ!a`Qvhr8H`}|U}pUV#g&~{_u%FQw_bS7`VDI`VQ)M;v;Eq= zJGWi+JZ8CBPaTTyynT4}q5gQooEm>hkn8qty5ZfXDxO#AYJmNz?7^AJxF4gR4 zD>ITZSFq>nRYpK%#JTpv=Uly_SI6^)sLfH`G_!uvitWXx)1mDhc9YJbYpSiDa?O$z zdui*aKj=}Q2m|`zVZ@e>@3;FVa8!h)Amhv3|@o{ z7?~6kLpYWl#@|)E3~?|FVHpz#qM7>-ps=ZV&3{n;pq0#2x3dy3ATlrRGPlRD(Km^YrCDSa?gfDz&_mZ`Bl{&Vu{ zVsj6^r?{;*H@CKen-%-#kJ(p!nQjC9!VKsk^Hn{hphS{vrFI&}fC6F#MZ}IU*6BeB zku*7tqG2@s&V@htuZjrnvgd&&Y)%33(A_rZ?+nS@f7$kjif{k@hF$HlXXcIE^2(W) zf2VleyTzXt@zv3`&+zJ3-yIrwjA|h>N`K%i+-ks3r*1H3rYBpZEVBU2 zu)hcfCw%)0 zmu?w1Grc%{h4qT`HQM#o_32x+kMf^tztWj<;}+I-)?Hc0D&ufg%OsLEyOa!gZVTC| z%PEZF^6Yp3O(wQff?+d4J+AMh+&L398$6=9raGt}q%fjizXxE%XA-efNbHlO4!K7r z{W3Z2k9$c|cH-RG>Yj9F-gU%BtIdETUr*2RpmTr|(u zNH}YPL#?h}!qtY1^e=r6^QE^d#YAhJ^(r)=!h|aR@v~;zT318;IpY&own$M0YK*B6 z?2S#`K6~Ke-rIYgno*yqaTjJ5B~L@#?i9iSF^cPS=Bwr`pK#&D%EDYW!W8=6Tfg+m zTi+RZaFf$gRs8gl+CVglU54uA%(Bj$Xx>zOYE8Il;RTl;{$=k4qK(Ezj0Y_FTNtfz zXgca7A1OE6TpjntcGNSdN?1(RRj!*uP^BiLxoDfjwlvIMyr$vWSkL0^>~`)J_pRdg z{J?};+P2TUZT3Cxd&C{HhuOp20rvs%jk-5x9$kEF@$tnc7yG=DvsTDE>Vu29$F(!+ zTYSjH)XOt`$kY0Dteehmcj&aB(%7QZ5Dy@NriuaJ;;!hP=!;P%I*fNJ=7lL3M%ylV zkNhI_GnFq@Q@B#5m)Hhp;29K!%%Dc)866Jn0F-%1o7e1U#jV3kwPN(l&}Tik!_yD2 z;6Ycy^*EaWru(!6vSi7Uvc#i+4jOaEs{`E`zadv3dKwQ}C2($%QSh z9@pD0#zn29_8l#_r8>uSFrL+KXODhNz1uCqNS|;DcDQK-_SS_5sH2W_b@rVa z>7vf518+w^jM6ei%VSXK99%l9^lg~Aps6jA_gA<@%*CQL)wR`i)r@9hY<4UYt&Gi& z&h=yexPTwc%(qKu5^j;uc&^2dI;z_J=%UnI2~QTM`0>2>0zaO=prXkKm;1({S=BQn zJab0AULma#aO??evmalOz0i;5BrlXuo4eJIR7FkI5x+j1Z;huGwwu%+R_7dbx>3lX z&rt0sFW9KEcyh08%=jJ~!|G-0Fic8KI6UUIQWZJ3x}BS@R2hU#y$hG!Tw{iMtU12~ z<^0@5Kihpv_sc0Wqv04!>bk~P9-TZj9h7tao}Z8JT64uir(fDU(_qc>OX^Yu?3}TD za$U!)Wo@;^e`Iq_%U?L~bZy-Of4~v6`|^pZQOa z!}aK&O14p{%?{ zNj+MH98a)%7 zoU6WKhO;oG*fc)u5G_HkGi$~+?yk{g8`|bytUOhGet{&aUIF0(Jo(;BvURhI{!22! zNW`vhoX?E^-ZZZertt)h(qBn4`x5e@_YNad=@Vt5v9JU$A*7{ZhjoYNMdypIVb3QX zepdzF>cz8-vrS8kOH5ygpm#aNxX8GiqQ}c%dT{u5W5$^SMCeoen8^`>HO9O)Z85ms zc7Evm3t-#J9KN@ZVHkg|q$MLZX0jDS6{G?n3d?d4M~5Bv+cB~W_96RG`!V}*yT;z_ ze|qa#DLG~*>Qc2U04y*nqbJH50Gv93L1-e_ZNM(nIY{9m^m?r`Y;~xpqgK_EV|i;B zpd8F7JmbChY7_DVYdqXPIkT|x+YQ%Lxs&Wm#a~Ss{ZZ$HWMbK+wM#A~D`nTJX|c;F zZ$OA0qS1Be4W(%jUPKmEEDEf~tI6t$)d6i*Zjoop_jC98p5Pwy@dQ@{TtS~8hjc-o zMGo^~7zK%7(aOW*sAAXQ6jI#g7Mleg*Mas>uuKxqYIPyEE0_wX#tM2G1%$wo!0rGW zI6@N0MUL*5mUq!EIR%XLFbb58?la`+<@*elI^Zs;69V+qDK%UGDTX}kXX`&!y)UCc zm{@p&!Z~VNrPz!b6T<&uL!|%!v`{ zSfNql5~Jm~#N5JiTw*Gtru&lRYAIH(_6Ew;Znb)!GhYr~6zp{-ivb>9u*|Sb5vB)b z%bk`5!aT>qz!jDi!pgupp`YDi9<)^~y z6+aF9*7CmandKAVlfYM&e+XYyd=*IREHiy12r5c2Ku|>>;MbY;KAp?&_PMl#_i14| z`<&MYECLPN@Q18|!`frT6j_+5Io?VF4nhLKiboOZ!To9k%t6Jd6)cR?<*fdVjU*A{j&o&FibvI%o2?!J85Rjk4rRS5hjAi3%a1_r8@A)S++!D#3+cQ z5htn@zKdFzws0H&m+-|c!p2vc`DXZ2b>y#OD*C#x%&TGuXhEGOwYcn;Q`JoaVV)fQ z&x=FjmKEpC^VCkjzYpX03te+YKfSP!xccMI@oVqRjt8@RG-?rZ-(@d8egEwja?vQ8 zk<&}Ci9|+!M`I%m@J}NjxPKG*ApU;h zvxvs8gewwSGj*GUaL~ugVN1~Gl*6b(N>^4STEer#Bpl|Ql?f2HM9YIZ?iIW_uj1|T za^C3~)xzGAM;WYShB9PV=4j?vhRLLH$YS1El^Mp@?U$Ecsu}^u93{PdsV}?Q>CB8V zRiFCQgu4ILI5L1U*{%^4)0~wa{z%g87o&+-(jBYC5kDN_p2}Jr^@VHCqWPO{LeuB2 zSA;-F4u;3Ep@1|F$x;wu6^fvgs%G`}VO7$k{u^IOIGmSjTw{LD_}Q2kDqrvq{IT}B z(dTOCM;*R+doBL!p8SHgHEP#u0z8Qv1Yw#~6u!>?8`(<6^p5i#h_TpnR`SQm{& zr=o>;4OtVp0pCEb30@xU?2O^K+ACSi) zd#YZndO!DZVLn?()d0tiDo9{C@1DoHm4_2_Mo1eP%ff4A?J+ z6_SodA|ZldCgR95L?V1;@=;#kJNRy%8C1yMY&Tl4k^_`-UpHf4%ObyvF zY8acgBOtqMg|5CVm2DoF)aOjYwt^SPN}Z`G)mT`a6Dhe=RR_YsXjM2{i>m{0$b{0h zC>+U2HMJO>g{2gSmNjoREHqj=zRy^|G-At9NKm(hqd)`?&7M3c6mo(EfT>Dpi2B=P z8JGPE{|g=QlqKMrF-oG^y9A3drodz87qYH#DQMR4hYUI4kT2KF;4dYj*15FN zFs-N`^z8N!je>X@_G3|;jH0U>bnbQ%=kqv!Qh9&bItJ8Iol9XH#$-a#*?~Xf z?)v9^?kW04Q|SbEfVmr`nb2OF%FO&Nc~@K#Bx^J-WtL=C>3TAsMn6sbBl?eokzTOR zo>#AX-50ErGnwS_`U;OH=nD%ORv)W~rDKKIJon@7$Hm8ET0^uU(h#4GX5n^zx^`-0 zO1wSMp1hs!7y7MtMsH8tp6t&&Alywajy@+Gjvh|Dn0Y<=dg6oV2Z>{uAmUgMGpt(| z<>R`9CYg7)3a!=-?jnA^cu{hzVY_g9+maL|hs!ZB!I78j*m>>-b1A z;YcPE0DPkHoL1)mF${RtmvwDj~5Q0HTLWEXg5Ne5SzvJ%E6{ z2acl4l40tCaw@)ThX?QW;1@h^dp`6qp6^7mA^_=UB})_wQLGvzKo5^ThXoWvPP{@f zD*Eh_7*=BaF%knveL%M{p4A?~!1(}x>m`)H{fUzaLhS7r-~&&%zI{YJ+d4 zp!}>Sx11j-hsK^;Q$cx~_Uz&c?fEsS{~4$J_dYQiWmOKcO2O0wE_X0SV+v?!DYeMh zsf)IPh7_Dj95gw(&s~Vp#Hp6{I?t`aNOjuB7G2ows_%?C*Z6bpwy`n}GjxqcEN;U6 zdD;5Pt94@B)r=2J3pljLUUI|>SYD7U{yh0n@r!8jHx-S|%-vBo;18xp|B8ROrP*y} zqEW^zgdNV&zv0vMlAVSznO2?oj7%SWmLbz?O~ALNmHxn854hO~TG?Ku`aate{FC}8 z1@=0;p5KCR=h;@RDS;SgLZcI#gG`no)Mi5&hQe^n^olXiB2_4$Tv?k1ounfcT~J4K z)BWced)tLxfGU0GMjt%v0P$H~qn32sY#A9RxYw<0`4aLujpA>KT-HU%eboJJ|uG;_N zS6i>LbzKYcspXcT9B4{$~s`iaup zGj;dWV^fb$W$jaU`jz?)$P!!7XOKf7D)vKlL0?7=wFP|>)X*8d9JU92J~`l{q` zKIj`ShvAVh+kF$pk2e_fBvV!8_xrRqdx$6@{9y=7p+8@MAYLqwg3$_-A1xBy^kN z6OaJoE@36KfUF?bkpXFk^rUncl>E>zzDub!FR!0RE)EdTgc&*HYVcXdhxAl84og7^ z<&XkG@sED1K>T6CXwftHN-|7dQ4B73NT<{9l$UmmfhtgPPN|Fs7>;nFt5C+#zz3is z-ORs06=!U$Goj~d>g(Bi<+Z0jK8s7pWHt=|r0ZifVWEM)pQ-fbGxj$sWz} zO13{sP}WuHOwHrwY3HWy<@sqmma+}{srvc)``O1UcW3#d*^?;(5<`;d!Y4!NxYE`v z&6X~aF4tcvZIE`MUD8whVg9vBLriOr8z}l*!wi-L8Ju9v2gI4Z4Wyvt)-xUB#8Dqv^9#^P1L7>!$K*q*VLJXkQo5 zCH>Kk?E`jj&i^pB+(qRM-dKv&#-lN9QbH*f4hcRg;Yu!j&hG$?NNRDFa(&{Qfq z7MozJt#RkiMY)beu(-K!-kKq5kc%3>@{`v78TTIl$G@$gZIMK8%7m>|7TM*iG89i{ zG|iW07q%@Px^nS~DdSJS`YN8<{$$yXb^7-YPxV{Fy>H<6C-)R)uYCQdzoryArF4Rs z!R$dYzWIQb#kMdVuWKr+>QI>agxsbD9P!)dvU9jOnz{T!-$FmXf?LP+qkj2-?^WrT zbR2!e=^F4)*n=R3Pz9oqRyje&-EzN7s7pwaJLGP;M;<&c9`B@V zk}t3L(1I;Lalse^Q-2>s7)_K$TcM(wG01NPjd-?kyO9{Pf;xw~Q4bnKL+B_vj&!tw zpr@|!-r^-4UcAeTy~7v~?@0{+6G2mUdvcoAQ0rmx?Xu27U4LDDy`#NdCwi$fY9y6v z85!wSL$*#(vl<;*b#OuQs>@0)UU&crB3evN7knU|`0whktOoHM5?M^>~2J7MP(<^x(6D?xXILZpKYXzGX@sO_ip? zxH|0KXIft0;l_%)!`z7*@+OZ(IXr&8OI(| zj<(B>s~a@p4kPY1_813^yNxG}oN=G)yrmRnrr*;1_2P*x_he86Ep%(mQ*fD5x>@aAfWwD2pZ7@^`376 zp*J{%zng-)yMM#qaPO|_{R{VARvChA^ znEp;|?WA4~;9`TFSkg+#fH+Lf90)Ze1J#gG453-cz|Bb;fq9d)$%b~NkW6S1ADudX0pFbFqG_Yvz-w5JpE{*F2TDq(+wB#s z5jiK}9%)D-APVw|r6HL~MH+JrxTj&Lfi%!MSNnpAky*2X?H%o;zkRTspmw31v{PT7 z!&TSby|8nbEZR?_+lKM-&D6M+I=3qF6ooY>%1QHBq?;-_TGYRGRTUUp5O*%b|7%}K zC}OmjqTyJ?DEqP55;8~6_m%X~1=KKn^$lfL$$#T88Cz7Tjvvpvzn)O%s`>LgDc@L@ zREs;7+p1R9&cD&Q;;xy~du5kNUq8OsY#%4P^{g*GKfihwAT&mm6`)R86`i>kSfkpy)BW5 z^&+UNRw~jBMdzY=A3)7<^YA72rDf09$_y(=sS(i8CDNqmY;*Jr#l@iiQFd?0hv)=a z>dZSl4sTc&(#uxK77-itZ`Cdx4yjAhy6e<5Rb5U4 z$#M!fM@{4LavBboQ>#@?6~*JPvxII$eYlVGa5qXfaht8%L%JqyoxTnP!)uzO^7~%? zcKudyi-&2jO%sV-q;Z@+X)KNt6m%u6^LixFL#G zB517vEj1>Eb43j?HCC8)7DF`3S_4zg{F&kEvw}_w!nJgouFC@n>!SbHPgyU-@DDVH zGza-V1z9de@st$1mRZN%#%y69W1iOXQ+eE^Ju6N{w-K>E7Xs8HcM{$g)focSL2i&E z-CRGnhhw}$Ti`S7y}=Zn1F=6deM(OqQU6bLMh0~680E;epI3HsW?`R1|MTc z0Ajo7(DdQ6v6!k|^lKPvb$x9C)!=cPAGq_E-{1Ch$D{KBzWOW8*j`n8Rblb>zPCJ| zPmnJU|MeHA?&)u8Vh%o};?~i`=r0f8PK1x^q}zf?buhu@BMkC3y1JQU`8^;s*fOfKEh*oHaahqb-gHqt}h?l=J7^swhsHCo%F+D$j(sjt2E|+)!4gZFK_zhqIeq6 zIu;*CS3r*uJq^=1hj*aA1)twy^;^7tBv^eyg&(Obo@xhW|HL`)f7T%jJ<8|hF*mK1 zT3eV)0r&^w=Hj2L*4^0F-kbI}Ov4j9TT)leEG%N~9({M03i)5|pVWCrKi)C1#)qS$ z5B7J|&m#N<4J2BY%B&G6wttSw?ouu*C$OtJLo zueE5iq*9QgQY>2lbS&^rVIICnm@BbiVF6xX`B?Zu;HF!r1z*;^tp9&{&aHC^!HQt8 zjZCs=3|5QX~_Hu^aebcWFQ_7 z>4`3&r(qEBaD6;GF(0U(jIzjJ7leoubV!&;L5m>dFmYf^sA3*eEOyMsiA666qP_tk zH+90#5{+*e40;Xl3m_Q1A!qD2l9NV!%y`^LdW=V@X5n`460ztF7H~n1WKblVMHwM8 zq&mX5jtuV43}#5CyRk5guLsC*wdxD&1%;A^>W*9>^wE_=KtRCj&NGInD8WPBVbmE0 zU;#j%Y78`=T|;CpNAMQJu{gN0C#3ATq~~7Yd8+sK^-^u5510Q33+hF^s>eepeWEyQ zhL&K$R=}`VC@}sBOM$3<@`8aT)`F#+=*kHN_hi9w%D4tat;EQfVLpH&Xqtb|~#=n3h1wEf!S zTBbz*yGe((Tgxob9@QQL$+=YO0nPNd%;Z$Pl%WbKQI~@O8=$?Kd1BB|esOytxq+X?B@ipy+0sJ?MIcV-TGiF++W1IFku)~wd z4&$}^<++Q>t2f$5M!RU(Z`T-{Z2@|v=I!!|BRm$1MuV=M=i;qu&vO7p|NCk@W#KHn z&SXm4lH+GIv|hQHEWS)J7>tIrD>=TsancpHajTP=<>SI8vt@ib*S2=v@*l=xO&3q_ zo6W+wRQ0sJd8>YiN~N>CfEiQ`9PJSV-`rE(Uri7ul}r+NYnR$r#aEa)YOHw{ZBxcS zRpC<{a(&;zf%s9qqDYEw4Ls>#`Y!XbM5Gc3Hy={Tp<s zOLz^Gmhzgml$OQL`)1COwC;|@!aNs-V-AkX=TjMngQAe(&zq^Hux~IwQbofsYwGd-F zw|v|!b?L|W=QAxTwN_PDRu*br-LT}Uy|{P7YL!Z(Eh{$yBJ10RZkT%^l-hC$ph=-< z4#$`0HVl5t8;WEWd30KZVsSXWY!pyQ<}AH)%kX~bexycK=*{C_vtgMPhsmrp)ljDm zPr(4ohr7ZD!Vl^mtUMH!aquw_W)0x;Qkb=oW24r9BiLp&+ms=zovYHw%gBo$lR;F% zppn~uY|tMxV|vlIIwYuL;4iIXqha7jjYjKrIuDS?LzuiLIm5BsR4Dwii)1qoMxiG)lUDKd)-DPe4_aOm`vz6!mw0y}heaybzf*S;XQVD)sq zf`$c8gUS*Y=xGRso14R-=H`j-ANthB8kfy(OuH=6vUA!R_S4`{xOtBIu>Y;oOFW)h zt$c%T!W0H+cAhij?7j8n$w*|8tsV*t zUK{3{7R7yEp8Eyi{x8ivf@j#HKxq%^c&&%@id@vsxiBI4O+4b`4gA<{N_`%Tq_ z9CC_xgy+S~UWvHgl8EyLJpZgNykNx@KDX{7&ynf0K1^o|Qdn2%V66o+1$7G|mPL5b zDHj~5UD zBe7lTy=vA{AGLMWkJR5Hc~o+$UZP=dRG+M8mn$4jyXDVrlha=A@+9eNlWF?e1WzNx zcJSevd#cHUb6K#O)dk&39CkX~Mq`)hfQiwYI!z2R8BF7*vnGkjG{gLjH>jGs{8;aI z`WgR(jf8i!kRj z80BGA1Zh2B893b{qzuR+SnbnAL;{J+w~+Augf6YgcJ)r-rlW@=Th6B9O2dC{rUHu* zu6WzJ_!6u^@>`h8bdNnVH@kP|oqwL_xTV$Ex|Y#e*Ho6|PwkuA{lmN0_fOsNuPev0 zjb^i*m0mU1edw~$A3geq=jTq|;rHR2`deInf6}*OF1@(v!*Bm}`WxRF++e9H^&}8J z`}*160R>l~0R95s@dXn$?T7g~-&wbx!KO+E2bhSt(R{V}F6LF{EF(9&-6jJ$#&o;L zF{Ya(`T;y8L_dJXWHMrgahu#FCX?GegYV<@fg@O@RAR<%Q<#)2dDqYDOzVtB&JZ{7 z2G(#dRe@pvsZxcxDtxHwR25TYE}`*UuDBbYbYr(Qn7&V30n(wWc*6~VH%NaJJLKDM z?-d{2!GtMA-~P)D%|wqwZs|ros5DtkRk#JEOr2<@X)D@n%A!x3t}%TTKZKvc$4vi< z-^ZpuFqn{P69VZf%;K4|4^BHwEo9O@4c*g3v`az1=PObKoDv;7b&gw8Fs&uvD@5Ov zsmzpO45jp%lbLR&k-7g%g7ddyB`JnKr(CLdevRl8Y}SS64-f^$@Lbrn|f9kWR zq(`Z&w>*jB(9%#W8K+lXz0~Eq%PNvVS(9v)Y)mild3=FdPi>&x(;he+kXHp#0j4Wn zP+zORC-7|GZ+=;`PMG;Sop!6s9ilUTGjwa0$7->_)SvMMwaQQxp|VM-RPdUVf^Vtg z6mbQku+j3kmO5BEj>HeeC*!B$lDLy|n@rT%NBBpARMM&q%${* zy$^bE$7N$j8x+u&{jQ+O=yrKrj7;wf_`Es}dalv0se*2+av6LP6jb|2@5SOf{BVdW z(m~`Dkz!Zn72nV;Ht*q8CCL|3@~@S>yB7d2_QzJAas4Svd9z;~VFy33cpe zUz6_v>ZF(ld8<;Um1`6#rCg)z zPd=m`unpL+O6-#ClI@c3QeCaSR&%ZGYWtpwtGUtG4U$_FU#Pe#c2j&`&8_mg)wh{% zv)paF%YJ+Cj@a$-2Ne%1A67qXd(i$+#lw}~j7`g@6;G&UY>&sk7k^Ljp8CVe_qde< zu}plRW}i~hXdiN9ox>4HMxId&DA^9>D(CWGM@+KGz9Dv5ob8f#DK@KFi5#g|wYs7# z7OJRn*2q(8rLv+zp;W3WD(saGhXTp49hq$PvzCh=GeEWAlbMZ5++ zYs{uVFbK0T#Hdv&g+i`ebg%4i4WNCBud5-;`6lY{{zyC-hqcmy8n&}$Yt6Qr5&Che z=1h&E=1s+Klxx-YV>a~(hC?>|KCdQPG+xrMnr}8OnqfXYEog})&r+?1(-y<*JM=nd zc=nC?oec59Q}LB5-SRyLDE2Ja1pY^_IO&?nB_}FAaZ$M}^aLWNFg|7sw>OzDERbqVB$-H%4ygaDT`NUf!>=1i9D#cs*Mw3EfksOp9 z)E?A5B$<)O50+zX`KY$0z6)*Cca^esNx9Cfzf!VR|ElCAy&S!3FtnCB$b%9uUn`Y9 zu5zd)I=$Y@N=jH(!m1gG9&2>vT2{~KBwbQ0jcYYB!&W`k$1$VQKgBFYIwWBh^VKYl z9whIDyRXUk~= z{38mQbIsH$?iz^mQy3Zd?s>rilbhco!(T*)EN@UQ4C5Ug>2zmb;*~Jev2n1dx;iZY zdY*iF%g9F6ua1|cB*78_zH+=IB^fah@W63XN@6J`;G4%w0n}4)fo|dXfsH5^z%I%f zo(5Mbc9A2q2KEZ|hp#Z(=6;%PHrpjZ8H;A`!D|LP$_;94o%@ZK4Ou<4E9ZP4{?t>= zZ6_3SAtWKc2Nc2RXfQT|9ejhYuU;upswQLXUEveq?}mTD{v`Zc$!}F3NIp<0N2DXN zarwAnLOLPaFW(QHRiz9ua+gLkgZ;c#VV75u`KDXuVi@96CAG_RRKpR>M*S{NxH_m( zXe3e?yF41LwmgD7eiSs2i;c`rcpoq{Q&z4Bgo8&Axz-r3;)$xassl2aQ;s|3_*prY zlNT2%q;oqe?~SH==kI6J1vojjpFJ(CEdKWv7{!EJTIV)@$go6cHd}Os=S3h1u#vth zGJ2wn@O{}h# zxw_7kzPa@aZeNM}V{<>>x+zH3yKQqTC5U|tRg%>||1HJ61yoy2*DzX~;-y$A?w$}N z1h?YuEgB#=1a~Q3yp-Zlpm?z&MT*l>+*>H_Qrul`==(n3`#gRBd+%ERUF-f?Ihk|z zY@OMA&m`w$CVg&A4{qWVLM7mmB(58>NdGt4Sy?g~F|ufnj4(-J24 zui8%CkLzf~xf8vX5cvA+u*KK0^@4q^;%!EB_e5%?eol#VH_Fm8tj1@1j#X6p#N|`w z8a}>>Bn<`y)zi?MLi%(@s+F%8z-nC8g)U&!{NV(dLZd>erJ`{jpfgD)>MY?E|M(;) zB#@3$2FBfg$0u75S83xo)08lihFWNj~jU z&}SBHmry60DmfHabC}}PW5ZE=DLMeqC-2&O%&a6O`6qGq1|4V%Il15GB+QZVHYyly zk^S1nP`c2?WHxX*b#s4Iiag5_IVW78wXr-LXCg68R-yQ9iSuCaeEi(4H$5?&FWmlY zI?+E=ro;>vR{DMQtx5A>DV^9M^fgau)QN7~SXt?EmsVdXM+eXLi@Dv!lJA}mXahc7 zZsybm;`U|Zw_W2PhwBX zdet?o?$p(pECvF+N@Y>az0~v38lXBxIm18wY@%ujS?v!MN?8$E?HQWh5xBqm;i$?s zE&h2(Fj%r|G<4cv#S6J~fYhPWzfQrZ`txLCou!nq%Xea%fZIUo!sI&t&AzhyrA)v6 zfVF*lp)QiR`3C;oryusmOZ6L$^mXA)Hk~3U^FPm2Y@=;Z7_bP`F<0i7Y3>L&@UK^W zXHO$%iH&2#%prsUZ2liqD(3|0o+4R;%QIdpzpR8kIVWx$KLTWoPsr z+(Q)z`#iCT2Bo`<>1&g2_mJwNQ{A0XKSSMFx!D}STD&JSqI=CfnyQGWq_PNUmVZnG z4F*~oVb~uZH@DpQ5O^;1kF1*otzBh~zh1$z~JQ87h zPUP(x9}>5qM*BLX-MEmatl4*WJX~v-#I6^@ZCx#Y{6vXx@Gvff|UuC%o%Q{mkx?`pQdYzPb0q) z_poo47tPOP2S0aBd~RQHSkzGPO#7f9gVl0wy;;#;cYF6dxH6%AU2+~b%T91it4e0X zO7{7;TQdJgJf0L$Q(~U>vm$)J^+CZMysij^rG%O}O~Rm0DKfh6M>aarUaKtWYRIm& zS7Y`B@s%>m)dZQ$EvlGqADi9bobHFE96YB#`tZo*TUT@i`SWF*>a&OIk7R#}^Rh~y zuD7%J^IwKLRt-lvl#DKZT-tE4P}R8Xz0Gy6lgO6jZ6YQ`_HkEou^vFuZ=DNr^`$ z`H;X|n>MQ_K|C@gS~vu;_9Qjw3Diyka>-V!J6kd9uz{;sYe)A*GG%il%=(iI?ijPY zL6*YTlO;tz!J4SFd`hK*IXdkjbEV;D%$b5dH`t#L+3H+LKh}WTQPcAPp4HH>jIRn% z5NtK8*6H;Vo&IJf<ikx)`r^Fse{Z8&e^)#yur=r5pCA~v;dUqH5vXdS$v;L_WgJKXZ@Fr z?&em8eux3?U<=6)^F=pxFTNWotMGmB&($#+A^HI?PnOot;|wD)%WY*$QTUdwQzV3& z`3)9Je5>6a%P?Ov_jzvO2QM47ZrsyvG0U*!RgZ3bay;@@Yp>qDVfhpEW_9BoUV)gw zO*U<9uTpuK)n|i-hL#ffr8>RRRTwPLyhic}CKKx+&%p~pyX-olOyqLB&8lmBEn}}Pz3R~h;sdGc!pst4Q`q*1E zIMZ1{mOc;+DTp3Iygh9LS! z;kg=UJcFlZlOO|Fdpfqz-hH_zSykg!LF{Io4 z`iZVKMZ?E5Y5u7SoR*#uA{7-lNjQxxihgNbzkBwehd9i_@W?1L$7={1K(LP1iS6u2 zw4g{rq`zTdd4OZ!ciR6plEUq7=OOGvMv()?xpGyG4i;BMDX`Yct1)ob4Y3)jlb1_F z`7;HgWW+R0vCq>jI5v;ECKC9xqMbv6T=PBLuKfaH z8ogl2@T;4IqM@A^Mo5wCnAKCR{3QEE2DmDWBaH6Xi&>BcV7zi)$x%sNNZIg#zgN4( zfpaPUU{}y=_muyD6|Jr=gLgn%U8O|~N7YRGz=*EE#hf3^%_%T@w=o}@Mt0zZwBoI; z-YndVrPgG~2p*!onP5Z-JTg)S6G%Y2;<{DR~^L4D-OmDTGn094!;}dezjSH zHaznHLz-uG)g6lY>M_v0?eh~wscoIsTOeQ_oiEpHGm)6nl*?9~>;7eo|DDP!r+=uC&23|b!v292jkfBP8gENi*Mv?nrW%&=nc zc>ep3?|sKr(|Ob8(<{?UjpknZbD~Quo=1VUK@)urHS-%kvr?=slYaut;9m1*FTkv* zh7Ca$Ga;mvZ)~(k-MycCXq&kO#e5ESbL*}8VB+QVl3#N!hPdUpO8x^4zLQAoaKK`>M4VhaCY37jGW~wUJ+wT_WzXs5Wy zd2)StU{fa%0RQmI`WnQMHs)%VxA(Otn{2Ksp$V(}krCR&Yu$F}`}K&7xF%%?K(`6X zsFc+Ssa7Ww>P%F%<@ojR1%;kNwf;`D(%RW2;@`{EHElFc5+)cvb}g!Yls8B)K{@?^ z8mpN5aHYhR`E@Sh#>`9Ckb_Du+Sc%p`Lv%AE)ZvP&|MRDJfl`>5$|mWX}gwgOh5BG z0QS_tP&0RO&tx%xOHsAy@^V{x$=TS8(aX2`@M*KhoX_m`rf$Dr9z zX@LLTQjGhWQ`Z}Ihi+l7hKjiavvASn52w$YRJV8UJ{xhtFJ?Ap@ss>sf0_?)TQ8d> zWPO5rf+b(-JtdLU-v*?sc*igpMkKHOB*>)oNqs@RBU)9O`NKw7Pd-yX5<*`iBu<^T1)z32jx}jZoG~dss^bW!8G4zaNvZkCXD?1q1rd&E5 zPL)M{uNb~}>}r|OPqJ4&F9W4&aSsRScf2ydTydl@Mv5AGBpji-q|L{xl^ia4=^BZ){>)noYl8JT6o*VUsNmtitz9jo=kdEvZ- zf|Aa(T3Mv24t%!?V-0AEHbNINN^-{N>sFP>Ok-0_e66me%%{O)o}r$u@hFsaH7vd~ zo`Ub!FLj^*6LfzWm6<~zYUob80vnxl3Ts39nISoDM}FXgC>VBF%q4m>>Ggw@Nsg~< zqOzea-@`=Aa?>qUd9~k8B_5tXbt$ATxYTwT41phXJNFOWz`6{Zm0E1ouk*#i`pNxV zA^x1JW%Er*7hh)5y6P1CL`!up`&U=LDIO5J)Pd0y8VI(I z#*u7oSZSai8i=`#J2-(aO*K$wO=g_&)gNvXcuAgzHdy+D&MtYxc8XuZ)FHJ?wF-14 zu5_KIs;rEJ8BsY04 z6pT+*vhtMA;=6*O{9*;&eU5Y7#igJ;5tHOA0=MO zoIOaHVp_qSa)qaVt0_`-NvbD7o+-j&e~H=isM_M2o$~7}K2H~;hvnI6ZheCuuiVJx zr03$}WkdCg-snJ|lg2=<*_x$g@X(sMvJ~kOE?xawBe&YQC@yymgSq+rbGRIKsk1`($12;_;58NS0pOupblUyZG41*53Tbm9Ot2 z;`P_(rY4@cJh%T=(&v|RTj6OpxSwfTKN#F6*0@uG@+R?W_uO@TZF;W^;=i+kxEF@4 zrNf@b2|8((%A|}*Y}X0j?A;QoixU&SM8_DbWDfkymiO##5Tma~FK+5n)4SCZfxPy7 z=l*VB$=lwK(z)Yw5i;!Y?QdQcjq>#nGded&wkxcFVvr%9^sb0*$=}fOiFVuO>lJ8q zn`0GA=f(?%>b8}t23~o6(kQk(kT~UjUx|O0Shqm(p(Ew`@CWS;t4K-mfip+lCdmft z+@uog_og(8Ur*< zdR^~tAN6nSFG2wR>xwRC3%3tXU8v_eeEr(Xm{ z?!T4Lqt_JPEaR*^iM#s7;+Yx~Ok_M;`y1k(zaG-HcSbNI%{b0-pR|xuPQl;Z>_tWA z2I^V_zo}mOPqkP0+`d-&8)_R`a)eb_@6(#| zt*3jK;p1@4PGQJc$3XWcR@SK2^Ti?)bD6C1Jx%YIxVWcOE2Bs&+7~{Kp%GgW4!C+& z{)EJY7lg^1dKV3rC`hf`)`#QFAF~%K&TYiM&3WB`_gZ^>7W%+F@LiX!+t5Y|ZAjM$i%Xe}DWpZCr7CK;xm3 z&+)O*n}pll&iCdCevf3j%f^!0XhtImQW4K@B`_X%5<=~(w5ORzarPWgo=?Bos#Ib;fr@#H!mw&kRGy|Eft!* z8a))f9{e;v1@y7k>@Wc9Dg%6EEwi3GKJ=C6)!C_e8@=?Q^Hib(x|63T{3=h<-ueZKTiE@O&eg-I)2D%5DnEV3#)@L4Q9 z7TSmcFo@|jYMC}mjor`LZQic_ennQ7daCoZWmr z^kTS;pPoDv8NGSHX6<{3Q9i>r5z9|xHV{s9r_-%bFL^0qZrb`vhZ~=sQ$OM|g~%p} z<~yFu7jXv1p_f-MM_tJb2QNlvscT{e3MIZ2Dokqh<+ALI8{0nnehmJ`kyCZnsg4~N0IFEWhnWN(H zs-)0O+=`M6uV3ceJ$IEzH)>X(e#lL~4NU;pFHItg#P2SrD8AXHmZFODZye%Ds^yw7z#N})ySv*-Ss zN6N*|RKcpRhzuk6zfdsY-6U>AMAH&1j8gyNT#)VdU~PO7pUHU$mbFhRa2W<5^T>s> zOQ$@8P!K{NI=7X|zs&CrQhoIir{SPA;v+?K(EKAP+98h^5tQ+B{6zw0N#53E$uqqe z=Sb?PkLV}tUXR9msdPnYIs{!qp+~|Mu}@>8fHK}@nV39s4t9^BB>B;lbR2;K6Hy70izKR?sJ;{&dmE^1(j6{_>8hSD}^h8OTcSNDYL`D)&#+-P!1Wc3|yQk7*R_0HM zq1o{}M|3#6RX5K<0%hE*5mnpJN9g2}x>3O4q7LQ`@!Uu}#I<%4M~67Qhd2UhxA7U7 z)ru%PqUwV+R*77rq&HTHyuPG6mTgh18U|(PQx-cK`-hzQz!7&VEJK+^Lh{1oj(pxM zj?liMJAu$L-kkxW+A#siSvKp8aF*@7eQqot>+5wEiue0bM6qh;0fc(A-|slFuwgfe zZRDHO7OYCsga!8BAKywqg*Ii(fJHDhF@;| z>R5hYDqqsrVU%5IFUZ=Q%oj3aw4go>RC{G0$AC_aFQTXVU&}n5Q=&gr*(`=2-^m-p9k&jHs zp=sba6w~*cwD5{miCp=Qh3a?T0BQkvW$(}FQz#1T>4ej=waBxJQJaV=Gh{?~Egf3i z*Do21Wn)d_a_wnzeqrzH^*5gz=8a26V!IkV+nQ!ZY_e(!!uKk$Zh=g_@vp>fv^Ml< zXSyIMQcYX?A$!CiVwW-=toG_ynb1-^Iw72DSjzg-V;UrUDIyP%{U3@KTvA(n9G`En z1x&$ZUkFx|52qxqWF`7PC7Uwg-(6Y*mPv+d5a-R}7MO1ArqbC{?vaJO%F`ZHJ4ZEk zfz<*ES)y1m8l^B6qgzn`sx%JnsIWKb@hd9wtG%O$VH8n?)=)AMM_!I`SI@aPxp;N9 z69IKX*zk{<30zH^o%ddNkvD2>@e5$dF_KC)#}O_zKaYX4he2BzdhQPIBy|UlW6EW! z+D_14O~@*eJ$9;5dv`osqZ{Ey43q|br0nP8#anzES{1ba8Hi5w_4T$Fs3z`kV#7;| zV=bcqB+^JzCyH3oR3V}A$n;blXO+bv$~9)xspY{zy2&j83dQRHbv8Z{XLGH$g52Z* z7%NmLjpB?*#iSR#xn;cYoQa2r++Z;onRU(ER!%2yzO*{iJ9ZfE@f(MYlfE{N&<5N< zq4wnCcQ7q)f;;#9=NSB{HwIYr-a^;<%6^UUNxR}DB+)|Dl+egq6m0YMSFctpy?Uf9wucLB%GmO1=RBX){o$-n+>&rmRjD#H$S%cAJbkgp&Pz|FE`5U@A103V$m4>Kh zsYeXfl|%kDO`_^=R;-4oGn2_g=wKwVnWV{W>t+gMilV*5)SO;w+qo1bT*~W<>lUom zf-2@k2A@4AQLmDt%<_N*(S9K$$eDv#kFnkWm2G(?xrJC1;sZj~GPHi z)s?W9D}k5mB&Cl(tedTq?C&&q^~`sDCrBg@Chb0UxghT96JBj~sSbMIFGR|N?G*at zn59#oC%~jdM6#L0`3z580qdO9`^T$p>h-)|7sAJ9FI;2AbcSmK-a2lGezO zS$nf;hXb-Dc9DIv`ci8EitEmPOL~%PlpR?4EEu+|8ktWd3Cndw$TJUF6AI(jFc zN7fUqpG-;4DC*_V3Ct^z&tH1<(I(emYnY(U-SJACcBpJ%g{Q$VwPm-RGF7;asXARh zeKwxTD1A~?@a-Tmw$*2vl*eDv$1R<`G_34vDwD9wskR4TN0YabKhO?HWpX75BvC$( zm+iLD>;@ZnaLp!9#t#~H!mjQdgc=Miu1s29_rNae+o9zT)B(m_Zu!_5SzAT}Zl`Cm z4abhu$xnJM-SNe1HhfiH=4_uDc$=Hp<>-dl_T_uvE zekq4My5X9g;4i;u%T=xX-c93nFS$Q>Qa`fTqO>c?ftoED+@J(tlpgvSDu9ICU#D6G z{BA`CqGVFz68h}-V50+8&Sf5U6x;|J?#9Vs6Q^(BC}zvH(sj2Y&5^#d!qZrn3ofTi zVIRfbbbJ&k;iNd~)#jG8f?ppZh=Y<9MU2sZ;?(?dcVrG(ntUMPtzqhnTmdkxplOuv zEfp6IawY~EFN$oe(`1e)moXX2=qX;Fi?tkf12MYKk-<=rc$TZNcgTufxAU~T5J>mT zA}mZUIQ*ro4|+H{wwc=gMmK@}b}V<%NmzRe4uLZ-VzF9Pejn|3 zI##mYK9_0ji%Lcdkp}4A;z*)Z$l<3jAK6f*H{HK-e3N9hp7zJ#HXeaXB=jiw@@%`^Bu$d3UiqjLNw(BndhSPH`pZ%2Ubn>-FT6pH6n8M->59Bb& zP%K*HlJm>RLzqx%F|T4^vhuxi+gxl|s7Z_$4>H|{sgl;h9z6d-Akr+7+(QuZtU>v; z9S!c+-czE{m(c7vuSD86c3@WnE*?3Enu!;UhFJEhLGy#@(CyRcw!Dz4qhBvELuY6{ zVw&UrAo5v9MkirMYc{7MK8iq6acLZt%GJutJGxMULN{4FAjfhWtY)1$;_1@zh4^o)n_!GJ4@t;p?ly&W~eO3`=ZC;hwVNFq}_yeZT)tKvq;pFJKZO5Ox&(VJzo70`tZ0$wedJ-ci87H@>v!KE4% zs4|w`gB!)!9dS|+e}porzERrHUoJtWhKcW6bHPBR212>f+KytF^L?80LQNdW`vO9q z5SHKh;>=iB|HbMBYBSBeH>fi@JHs_6Lb~49kR6Au{hPTI@r2r#9Mx`DVsD>N8;_A@ zf>O}zYpVssGQ%2ftYw>K-m~*N(JSmsI&r5`dK{L&KnWb!-E>Ykw$RN3>y)7DBo%{5 zXy-tyD0DftIb5XIKi!X{KVkdfX*V+ldlp5huk**lo_4Iu6_eG3;6znC9Z`th#sB@q z-J!{9(`=lJW7@Y?y`Q$Ec|93*0J{Drz`J#V)qS*i!tgwqQQ8JA=Z8Owj}&M?=gZE| zrLJ(|MI^T{_8D#kqpVu3LiG^Kn8pkG`Qnj=ukWSjR&#aV(gmo#6X|K;pPe)68+!RP zR>Ol$Pg@bCz>fISyoIq@Y@5I$YMgFi?>6s>SV0oUqrgiUq!heoHpceam3M)+55k~# z!G6T&(FJLEGPXlCHti_YSrXpS@sBA@@0in2ztFt9S*@8c_St`a8cuKv*v8K;V3@=& zsQLDyd*5gKad$|@w$o_}nX}ys%hG3MUZ+u*xd|q%sB1(iJSGe*eg2PQf+z9UxPgRu z7}F1#&e-_w^GE?yd?1UdVZ;X~4GEaXwk2?=rm}C5Gj1(YL@6iEv+9PQ7sLbUxlyJqb zykHF<8{T~Bh^It>gFU?SU>2{aF_VDIuHXM1<$Fd-v^tU*${_5GDW26sHS(QQuDWq5 z-}jLEcMxw0Ld?(QWb1;oDk05yO9mKD<-r0INIFY)RK0x(g*YZp+Z;{w+I*xB@Etff_lBC;0V*_ER{FLHoB(F*jw)7!DMz4eN^Q!Y$e$?M{L0(T+h&|(oqUq2 zb*|paHOW8u%1+W<++D7KLCm7tPBX5E`Fp9vK=Cr-|1&~EuL{FGz4w0vS5Wyr`pJ-o z-OmrCH!ZRg%}WXUDkde_hDxJ_(Xw*T#`fn}aJ1jzXWiXla#UN~SsrqCWi9*25+&|J`#LMLPxVU|qI4Ym%x|Q1-5@ zrL4UyobkEpcrva9zM`N=X3L<-I#fQYuycaY4#E-PW!j<#bk|!t7VsO)KKf{W_n}Ki zq;a!T`%PI%RmnHqN?d6`uaO3ea-D1DjA_wnnx0dGnYB%Cn zvt=fDK~UN~dIJBDZzS1WMRyE83yT5@$?gbFm@6|(B7eOim{IDSwb%$tSI15%Gm&YN z8;RORKV~EArnF;Dc?66LjQ^OvIFIg*a>Q~i)Ik9)@v>Jgew>)tz7GYl;gq4vpfqK7 z;%i)S+3e-IV}ELHa>zfOO`NjSv4I;D<34CZg_?{lO&9Mh{V1F2(*KlMHuXV=b44fC zK(6nthQ5s5HOZ$5wZ=4nll8od_1*U9?MVI&bFzD(qE7_k!S|PCWAv+<=c+}x&K;Tq+JjHf)iJU% zZ5+@L>qK5?I9e2@?V+vT6t7KZs4kxYDW~=uHRr|#$2G3;jA&`+14w4YmWVeVA%5O! zq@2M}<2enyiYZfnTEyarbAn7>QZj=7RS$o9jh}ORv<^=MloZQCKTEiM909Zf<(2>=n-( zHrWbTTb>bTK6N1WitR`^22|N=ieMCP9Yk7jx?#n~;tt3PFT?P-@TnvwA=P~^t z`5sQO0>z={N<9xMgx)9tRM`a#Uf zltsBdJ?2vNW-|WnJLYEfW*Q?W#WAwnx1&twY32@T?L|KHK$e5g?V{FnX(_ zdg$dOzt>SYQs0U+ei!A=74gFbrssFH@8*z}3V0G)56!2Tu7vGpMqKxv1^LK3PK~(} zoz!~3g{S%~rwT@WKhGvOO!MBfosY&I^-h6}$43vM%=uM7`U<_?*~@h8lnm!c8af!P zCBbh`9^5_PEHT?5oEiCaP=w4mS#yllo@w!&Z3BOLyIfhZ7l%H|dR=d&%J-YUWRS^E zjc~BCjj$rqClfifJibwdplpvfG?boOoiu%xBn{il`@yEKk(y%OF|tH+>;&5%-5p){ z2BwUR0Dp$6W1p~#7rK>gr5LzRuEit_Bn<2%T-VkrABSEQo!7b2!#!&+IxU!{h3A@D zFHDZi21Z9llo#y1$8X-JPi_u=?wY-t#h8t2fH5pY#&lh*1@DU0PpU648N6&g<$tlp zQoo<&OCoc$f-iyO1(bAJ9N8a@DRcOaU2TNJbBqZJK6okr@;Qb~WDClvYTGq=e^$HP z@Opa-ZvTx*K?MF&DE&@SJHN(K0q~I^Nv*q}k?ynrio<7P#|e9qnNz-?>6sU{NFE;; zb8LQmL8ZujY;uR4VjOfqnR3=HH~FGA$wHLPai>7IH=J85Yr~y|fSsDlau?;K3AU=HRkuFf#1{rwr- z)CLQShnogSbAQGI;^*c04;b`su&^*d%gYf4kbyh6O2b^toUI*Q;m*G=RiO4TfRx1j zi(Sjw9_FF~^U#3XLmkvKm9?+{GImf)7aCr~34&Bo67H$b$;U51!^s2WrvU*$U>a^9 zklzp>?+UfEHj{9$w1d$Au>cY-W-td=8UYA57T{jq{RJn8n;#1x1$C5zSzB7U{wBl% zXu87eb!Y?-H1`5ztnFYR8Xh1*Z4FV;|JcFvA3Ky_4wkM~G<>{300P?;hOoj8>I##F znZeCr2%3MljAw@7Th+L9AVKGzFIMdI7c60ZPu)m5SVGKOsk@>{{|Cq_k>4NCt-OXC zw|Y6SpAZ}i_eTf#Lk;Zk8@~(#I_tdb;-Ec4H^T#q{B!I4D}|1~!u#dsPIlhtT-sF( zyd|zYJ+J3n^d=izwn-$OUv8WRi5(UygWq)&mYxfGKzG9xZ;#Deh$&#A- z$G4iW*MF|N@c3Dm=L~;Xmm)Pj>sy8-T`Qh@D$$Y}K7C(fM(UtB7S`~Di&j5zRnU0n zY>NYDHej#mPB~#cnxwXT@E=D=Fn<6!Z{^9=WoQ%gM zHn!Q|XxqW3%W2iHYc%h|sh#ZkNln``^R|`(bIzYQ7n~`$7cgvP|E-)JzzaqH@M^L{ zA7IMYii?$scC+q1O5O|hA1fXvJS!D7_!sOjl-9R0XLPNz(wr-#=S(YSKXtqJcvbu{ z&}m!0G%#G?qs!mswleq+$X}nUhFH`4W{RgyaWdV=Cm$QM89nwX5^f_*^#);8c!XkY)(=NvwEmMgqc zw?E9}zaxLg?zy_r8eSKBjVCH_s7tPT`^8e$zvRHA2VPt!#YDPzjZ$M#p9j-RRHn+$HV?7QUIE6rmpv4qvh-d z`wf+Zy1?%J^#3Vftest4rL3UN_i>;E{r5Q-gay#GHg~mh(FcRUG++>jhL@LzhKC1n z2ta5+2pAs_`1=6y{j)9s$R? zue+E3*Kv<`Pjk=zzV4piy^ep$`K!E#BXF?(!s8bBmz=-&{8d5_e17YSkb6)2Z@S<5 zAawby|GmC{;s3?|zWfVj_^)*EpHxM|bDzpIumD%M{GU~b``)AeKIn1(ZP5FjeE$FLGVb58^7l5n_v=49%>@har=w&2$I}r6i0lI4 zqY>Z*(IA{z(c0WapXRrzG{4!?{M+4s5BW5|ZPvI)l!Chv?X&pqGY;t`G`jhKD1NDCsWz=(ax__+B& zU>*o3ke3+8_Y(EJM~00bch#@}@`4*!NB zuI`)a{`DUiFYtay`3Fn@$cqTEf5L#gh-Uc{#t-I4MBX2?d;)*+Ll`Z9NZS9v;|B8Z z{s|KRg74?+f8za@9o)QNAR?pv15W@b0RB@h0uS`33~mSr@txxjT5fLM|Av7ef3|@D zH}8M96*mwu0s1FDgbc`k*#Uv@A)N9bI&(uH;6G_WKyLm&Z36*$`Tn#61mwRT<^REt zmk;5J{|yuP(>7i{5adr8ynJARKl_)LpZmZ304yNzUpgaTh_w7qUBD3F{{n_^>p$=W zfZTk4>WA>%`yuQfwh186{%lhLZvMa9>*@?eeCKn%p9g4Kdn0Cb5P$|8j+lkrXAi_I zOy0o)j+i3<`$C#VpGNo@H)4t@0pZ~m;FIKq@IVCk1rR|50rP?(0w54rS`_R59R)GO aAVSl{73%EzJ1zwf%s^O-jL%eMu>LRYPL+fJ literal 0 HcmV?d00001 diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..ca73922 --- /dev/null +++ b/Makefile @@ -0,0 +1,38 @@ +# intentionally want to rebuild drc and bom on every invocation +all: drc partslist pcb + +drc: teledongle.sch + -gnetlist -g drc2 teledongle.sch -o teledongle.drc + +partslist: teledongle.sch + gnetlist -g bom -o teledongle.unsorted teledongle.sch + head -n1 teledongle.unsorted > partslist + tail -n+2 teledongle.unsorted | sort >> partslist + rm -f teledongle.unsorted + +pcb: teledongle.sch project + gsch2pcb project + +# note that 'gschlas -e foo.sch' will embed all symbols in the schematic, this +# might be a really good idea for publishing designs to the web that others +# might review? Like this example from DJ: +# +#web : +# for i in channel.sch ethernet.sch power.sch mcu.sch; do \ +# cp $$i tmp.sch ; \ +# gschlas -e tmp.sch ; \ +# mv tmp.sch ${WEB}/$$i; \ +# done + +# this shoves local work out to the git.gag.com repository +push: + git push --mirror + +zip: teledongle.back.gbr teledongle.backmask.gbr teledongle.fab.gbr teledongle.front.gbr teledongle.frontmask.gbr teledongle.frontpaste.gbr teledongle.frontsilk.gbr teledongle.group2.gbr teledongle.group3.gbr teledongle.plated-drill.cnc + zip teledongle.zip *.gbr *.cnc + +clean: + rm -f *.bom *.drc *.log *~ teledongle.ps *.gbr *.cnc *bak* *- *.zip + rm -f *.net *.xy *.cmd *.png partslist + rm -f *.partslist *.new.pcb *.unsorted + diff --git a/attribs b/attribs new file mode 100644 index 0000000..1240aa1 --- /dev/null +++ b/attribs @@ -0,0 +1,5 @@ +value +digikey +footprint +loadstatus +device diff --git a/gattribrc b/gattribrc new file mode 120000 index 0000000..441e62e --- /dev/null +++ b/gattribrc @@ -0,0 +1 @@ +gschemrc \ No newline at end of file diff --git a/gnetlistrc b/gnetlistrc new file mode 120000 index 0000000..441e62e --- /dev/null +++ b/gnetlistrc @@ -0,0 +1 @@ +gschemrc \ No newline at end of file diff --git a/gschemrc b/gschemrc new file mode 100644 index 0000000..55565aa --- /dev/null +++ b/gschemrc @@ -0,0 +1,2 @@ +;; list libraries here. order matters as this sets the search order +(component-library "../bdale/sym") diff --git a/project b/project new file mode 100644 index 0000000..b6eb30c --- /dev/null +++ b/project @@ -0,0 +1,13 @@ +# List all the schematics to be netlisted and laid out on the pc board +schematics teledongle.sch + +# for an output-name of foo, gsch2pcb generates files foo.net, foo.pcb, +# and foo.new.pcb. if there is no output name specified, the file names +# are derived from the first listed schematic... +output-name teledongle + +elements-dir ../bdale/pkg +elements-dir /usr/share/pcb + +# stick to newlib elements, don't use the older/odder m4 stuff +skip-m4 diff --git a/teledongle.pcb b/teledongle.pcb new file mode 100644 index 0000000..1cd9805 --- /dev/null +++ b/teledongle.pcb @@ -0,0 +1,1705 @@ +# release: pcb 20091103 +# date: Sat Dec 12 11:49:34 2009 +# user: bdale (Bdale Garbee,KB0G) +# host: rover + +# To read pcb files, the pcb version (or the cvs source date) must be >= the file version +FileVersion[20070407] + +PCB["" 116100 116100] + +Grid[100.000000 0 0 0] +Cursor[0 0 0.000000] +PolyArea[200000000.000000] +Thermal[0.500000] +DRC[600 1000 600 500 1500 650] +Flags("nameonpcb,uniquename,clearnew,snappin") +Groups("1,c:2,s") +Styles["Signal,1000,3600,2000,1000:Power,2500,6000,3500,1000:Fat,4000,6000,3500,1000:Skinny,600,2402,1181,600"] + +Symbol(' ' 18) +( +) +Symbol('!' 12) +( + SymbolLine(0 45 0 50 8) + SymbolLine(0 10 0 35 8) +) +Symbol('"' 12) +( + SymbolLine(0 10 0 20 8) + SymbolLine(10 10 10 20 8) +) +Symbol('#' 12) +( + SymbolLine(0 35 20 35 8) + SymbolLine(0 25 20 25 8) + SymbolLine(15 20 15 40 8) + SymbolLine(5 20 5 40 8) +) +Symbol('$' 12) +( + SymbolLine(15 15 20 20 8) + SymbolLine(5 15 15 15 8) + SymbolLine(0 20 5 15 8) + SymbolLine(0 20 0 25 8) + SymbolLine(0 25 5 30 8) + SymbolLine(5 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 20 40 8) + SymbolLine(15 45 20 40 8) + SymbolLine(5 45 15 45 8) + SymbolLine(0 40 5 45 8) + SymbolLine(10 10 10 50 8) +) +Symbol('%' 12) +( + SymbolLine(0 15 0 20 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 10 10 8) + SymbolLine(10 10 15 15 8) + SymbolLine(15 15 15 20 8) + SymbolLine(10 25 15 20 8) + SymbolLine(5 25 10 25 8) + SymbolLine(0 20 5 25 8) + SymbolLine(0 50 40 10 8) + SymbolLine(35 50 40 45 8) + SymbolLine(40 40 40 45 8) + SymbolLine(35 35 40 40 8) + SymbolLine(30 35 35 35 8) + SymbolLine(25 40 30 35 8) + SymbolLine(25 40 25 45 8) + SymbolLine(25 45 30 50 8) + SymbolLine(30 50 35 50 8) +) +Symbol('&' 12) +( + SymbolLine(0 45 5 50 8) + SymbolLine(0 15 0 25 8) + SymbolLine(0 15 5 10 8) + SymbolLine(0 35 15 20 8) + SymbolLine(5 50 10 50 8) + SymbolLine(10 50 20 40 8) + SymbolLine(0 25 25 50 8) + SymbolLine(5 10 10 10 8) + SymbolLine(10 10 15 15 8) + SymbolLine(15 15 15 20 8) + SymbolLine(0 35 0 45 8) +) +Symbol(''' 12) +( + SymbolLine(0 20 10 10 8) +) +Symbol('(' 12) +( + SymbolLine(0 45 5 50 8) + SymbolLine(0 15 5 10 8) + SymbolLine(0 15 0 45 8) +) +Symbol(')' 12) +( + SymbolLine(0 10 5 15 8) + SymbolLine(5 15 5 45 8) + SymbolLine(0 50 5 45 8) +) +Symbol('*' 12) +( + SymbolLine(0 20 20 40 8) + SymbolLine(0 40 20 20 8) + SymbolLine(0 30 20 30 8) + SymbolLine(10 20 10 40 8) +) +Symbol('+' 12) +( + SymbolLine(0 30 20 30 8) + SymbolLine(10 20 10 40 8) +) +Symbol(',' 12) +( + SymbolLine(0 60 10 50 8) +) +Symbol('-' 12) +( + SymbolLine(0 30 20 30 8) +) +Symbol('.' 12) +( + SymbolLine(0 50 5 50 8) +) +Symbol('/' 12) +( + SymbolLine(0 45 30 15 8) +) +Symbol('0' 12) +( + SymbolLine(0 45 5 50 8) + SymbolLine(0 15 0 45 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 15 10 8) + SymbolLine(15 10 20 15 8) + SymbolLine(20 15 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 40 20 20 8) +) +Symbol('1' 12) +( + SymbolLine(5 50 15 50 8) + SymbolLine(10 10 10 50 8) + SymbolLine(0 20 10 10 8) +) +Symbol('2' 12) +( + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 20 10 8) + SymbolLine(20 10 25 15 8) + SymbolLine(25 15 25 25 8) + SymbolLine(0 50 25 25 8) + SymbolLine(0 50 25 50 8) +) +Symbol('3' 12) +( + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 15 10 8) + SymbolLine(15 10 20 15 8) + SymbolLine(20 15 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 30 20 30 8) +) +Symbol('4' 12) +( + SymbolLine(0 30 20 10 8) + SymbolLine(0 30 25 30 8) + SymbolLine(20 10 20 50 8) +) +Symbol('5' 12) +( + SymbolLine(0 10 20 10 8) + SymbolLine(0 10 0 30 8) + SymbolLine(0 30 5 25 8) + SymbolLine(5 25 15 25 8) + SymbolLine(15 25 20 30 8) + SymbolLine(20 30 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 45 5 50 8) +) +Symbol('6' 12) +( + SymbolLine(15 10 20 15 8) + SymbolLine(5 10 15 10 8) + SymbolLine(0 15 5 10 8) + SymbolLine(0 15 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(15 30 20 35 8) + SymbolLine(0 30 15 30 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) + SymbolLine(20 35 20 45 8) +) +Symbol('7' 12) +( + SymbolLine(0 50 25 25 8) + SymbolLine(25 10 25 25 8) + SymbolLine(0 10 25 10 8) +) +Symbol('8' 12) +( + SymbolLine(0 45 5 50 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 25 5 30 8) + SymbolLine(0 15 0 25 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 15 10 8) + SymbolLine(15 10 20 15 8) + SymbolLine(20 15 20 25 8) + SymbolLine(15 30 20 25 8) +) +Symbol('9' 12) +( + SymbolLine(0 50 20 30 8) + SymbolLine(20 15 20 30 8) + SymbolLine(15 10 20 15 8) + SymbolLine(5 10 15 10 8) + SymbolLine(0 15 5 10 8) + SymbolLine(0 15 0 25 8) + SymbolLine(0 25 5 30 8) + SymbolLine(5 30 20 30 8) +) +Symbol(':' 12) +( + SymbolLine(0 25 5 25 8) + SymbolLine(0 35 5 35 8) +) +Symbol(';' 12) +( + SymbolLine(0 50 10 40 8) + SymbolLine(10 25 10 30 8) +) +Symbol('<' 12) +( + SymbolLine(0 30 10 20 8) + SymbolLine(0 30 10 40 8) +) +Symbol('=' 12) +( + SymbolLine(0 25 20 25 8) + SymbolLine(0 35 20 35 8) +) +Symbol('>' 12) +( + SymbolLine(0 20 10 30 8) + SymbolLine(0 40 10 30 8) +) +Symbol('?' 12) +( + SymbolLine(10 30 10 35 8) + SymbolLine(10 45 10 50 8) + SymbolLine(0 15 0 20 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 15 10 8) + SymbolLine(15 10 20 15 8) + SymbolLine(20 15 20 20 8) + SymbolLine(10 30 20 20 8) +) +Symbol('@' 12) +( + SymbolLine(0 10 0 40 8) + SymbolLine(0 40 10 50 8) + SymbolLine(10 50 40 50 8) + SymbolLine(50 35 50 10 8) + SymbolLine(50 10 40 0 8) + SymbolLine(40 0 10 0 8) + SymbolLine(10 0 0 10 8) + SymbolLine(15 20 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 30 35 8) + SymbolLine(30 35 35 30 8) + SymbolLine(35 30 40 35 8) + SymbolLine(35 30 35 15 8) + SymbolLine(35 20 30 15 8) + SymbolLine(20 15 30 15 8) + SymbolLine(20 15 15 20 8) + SymbolLine(40 35 50 35 8) +) +Symbol('A' 12) +( + SymbolLine(0 15 0 50 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 20 10 8) + SymbolLine(20 10 25 15 8) + SymbolLine(25 15 25 50 8) + SymbolLine(0 30 25 30 8) +) +Symbol('B' 12) +( + SymbolLine(0 50 20 50 8) + SymbolLine(20 50 25 45 8) + SymbolLine(25 35 25 45 8) + SymbolLine(20 30 25 35 8) + SymbolLine(5 30 20 30 8) + SymbolLine(5 10 5 50 8) + SymbolLine(0 10 20 10 8) + SymbolLine(20 10 25 15 8) + SymbolLine(25 15 25 25 8) + SymbolLine(20 30 25 25 8) +) +Symbol('C' 12) +( + SymbolLine(5 50 20 50 8) + SymbolLine(0 45 5 50 8) + SymbolLine(0 15 0 45 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 20 10 8) +) +Symbol('D' 12) +( + SymbolLine(5 10 5 50 8) + SymbolLine(20 10 25 15 8) + SymbolLine(25 15 25 45 8) + SymbolLine(20 50 25 45 8) + SymbolLine(0 50 20 50 8) + SymbolLine(0 10 20 10 8) +) +Symbol('E' 12) +( + SymbolLine(0 30 15 30 8) + SymbolLine(0 50 20 50 8) + SymbolLine(0 10 0 50 8) + SymbolLine(0 10 20 10 8) +) +Symbol('F' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 10 20 10 8) + SymbolLine(0 30 15 30 8) +) +Symbol('G' 12) +( + SymbolLine(20 10 25 15 8) + SymbolLine(5 10 20 10 8) + SymbolLine(0 15 5 10 8) + SymbolLine(0 15 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 20 50 8) + SymbolLine(20 50 25 45 8) + SymbolLine(25 35 25 45 8) + SymbolLine(20 30 25 35 8) + SymbolLine(10 30 20 30 8) +) +Symbol('H' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(25 10 25 50 8) + SymbolLine(0 30 25 30 8) +) +Symbol('I' 12) +( + SymbolLine(0 10 10 10 8) + SymbolLine(5 10 5 50 8) + SymbolLine(0 50 10 50 8) +) +Symbol('J' 12) +( + SymbolLine(0 10 15 10 8) + SymbolLine(15 10 15 45 8) + SymbolLine(10 50 15 45 8) + SymbolLine(5 50 10 50 8) + SymbolLine(0 45 5 50 8) +) +Symbol('K' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 30 20 10 8) + SymbolLine(0 30 20 50 8) +) +Symbol('L' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 50 20 50 8) +) +Symbol('M' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 10 15 25 8) + SymbolLine(15 25 30 10 8) + SymbolLine(30 10 30 50 8) +) +Symbol('N' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 10 0 15 8) + SymbolLine(0 15 25 40 8) + SymbolLine(25 10 25 50 8) +) +Symbol('O' 12) +( + SymbolLine(0 15 0 45 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 15 10 8) + SymbolLine(15 10 20 15 8) + SymbolLine(20 15 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 45 5 50 8) +) +Symbol('P' 12) +( + SymbolLine(5 10 5 50 8) + SymbolLine(0 10 20 10 8) + SymbolLine(20 10 25 15 8) + SymbolLine(25 15 25 25 8) + SymbolLine(20 30 25 25 8) + SymbolLine(5 30 20 30 8) +) +Symbol('Q' 12) +( + SymbolLine(0 15 0 45 8) + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 15 10 8) + SymbolLine(15 10 20 15 8) + SymbolLine(20 15 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 45 5 50 8) + SymbolLine(10 40 20 50 8) +) +Symbol('R' 12) +( + SymbolLine(0 10 20 10 8) + SymbolLine(20 10 25 15 8) + SymbolLine(25 15 25 25 8) + SymbolLine(20 30 25 25 8) + SymbolLine(5 30 20 30 8) + SymbolLine(5 10 5 50 8) + SymbolLine(5 30 25 50 8) +) +Symbol('S' 12) +( + SymbolLine(20 10 25 15 8) + SymbolLine(5 10 20 10 8) + SymbolLine(0 15 5 10 8) + SymbolLine(0 15 0 25 8) + SymbolLine(0 25 5 30 8) + SymbolLine(5 30 20 30 8) + SymbolLine(20 30 25 35 8) + SymbolLine(25 35 25 45 8) + SymbolLine(20 50 25 45 8) + SymbolLine(5 50 20 50 8) + SymbolLine(0 45 5 50 8) +) +Symbol('T' 12) +( + SymbolLine(0 10 20 10 8) + SymbolLine(10 10 10 50 8) +) +Symbol('U' 12) +( + SymbolLine(0 10 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) + SymbolLine(20 10 20 45 8) +) +Symbol('V' 12) +( + SymbolLine(0 10 0 40 8) + SymbolLine(0 40 10 50 8) + SymbolLine(10 50 20 40 8) + SymbolLine(20 10 20 40 8) +) +Symbol('W' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 50 15 35 8) + SymbolLine(15 35 30 50 8) + SymbolLine(30 10 30 50 8) +) +Symbol('X' 12) +( + SymbolLine(0 10 0 15 8) + SymbolLine(0 15 25 40 8) + SymbolLine(25 40 25 50 8) + SymbolLine(0 40 0 50 8) + SymbolLine(0 40 25 15 8) + SymbolLine(25 10 25 15 8) +) +Symbol('Y' 12) +( + SymbolLine(0 10 0 15 8) + SymbolLine(0 15 10 25 8) + SymbolLine(10 25 20 15 8) + SymbolLine(20 10 20 15 8) + SymbolLine(10 25 10 50 8) +) +Symbol('Z' 12) +( + SymbolLine(0 10 25 10 8) + SymbolLine(25 10 25 15 8) + SymbolLine(0 40 25 15 8) + SymbolLine(0 40 0 50 8) + SymbolLine(0 50 25 50 8) +) +Symbol('[' 12) +( + SymbolLine(0 10 5 10 8) + SymbolLine(0 10 0 50 8) + SymbolLine(0 50 5 50 8) +) +Symbol('\' 12) +( + SymbolLine(0 15 30 45 8) +) +Symbol(']' 12) +( + SymbolLine(0 10 5 10 8) + SymbolLine(5 10 5 50 8) + SymbolLine(0 50 5 50 8) +) +Symbol('^' 12) +( + SymbolLine(0 15 5 10 8) + SymbolLine(5 10 10 15 8) +) +Symbol('_' 12) +( + SymbolLine(0 50 20 50 8) +) +Symbol('a' 12) +( + SymbolLine(15 30 20 35 8) + SymbolLine(5 30 15 30 8) + SymbolLine(0 35 5 30 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(20 30 20 45 8) + SymbolLine(20 45 25 50 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) +) +Symbol('b' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) + SymbolLine(20 35 20 45 8) + SymbolLine(15 30 20 35 8) + SymbolLine(5 30 15 30 8) + SymbolLine(0 35 5 30 8) +) +Symbol('c' 12) +( + SymbolLine(5 30 20 30 8) + SymbolLine(0 35 5 30 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 20 50 8) +) +Symbol('d' 12) +( + SymbolLine(20 10 20 50 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 45 5 50 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 15 30 8) + SymbolLine(15 30 20 35 8) +) +Symbol('e' 12) +( + SymbolLine(5 50 20 50 8) + SymbolLine(0 45 5 50 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(0 40 20 40 8) + SymbolLine(20 40 20 35 8) +) +Symbol('f' 10) +( + SymbolLine(5 15 5 50 8) + SymbolLine(5 15 10 10 8) + SymbolLine(10 10 15 10 8) + SymbolLine(0 30 10 30 8) +) +Symbol('g' 12) +( + SymbolLine(15 30 20 35 8) + SymbolLine(5 30 15 30 8) + SymbolLine(0 35 5 30 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) + SymbolLine(0 60 5 65 8) + SymbolLine(5 65 15 65 8) + SymbolLine(15 65 20 60 8) + SymbolLine(20 30 20 60 8) +) +Symbol('h' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 20 50 8) +) +Symbol('i' 10) +( + SymbolLine(0 20 0 25 8) + SymbolLine(0 35 0 50 8) +) +Symbol('j' 10) +( + SymbolLine(5 20 5 25 8) + SymbolLine(5 35 5 60 8) + SymbolLine(0 65 5 60 8) +) +Symbol('k' 12) +( + SymbolLine(0 10 0 50 8) + SymbolLine(0 35 15 50 8) + SymbolLine(0 35 10 25 8) +) +Symbol('l' 10) +( + SymbolLine(0 10 0 45 8) + SymbolLine(0 45 5 50 8) +) +Symbol('m' 12) +( + SymbolLine(5 35 5 50 8) + SymbolLine(5 35 10 30 8) + SymbolLine(10 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 20 50 8) + SymbolLine(20 35 25 30 8) + SymbolLine(25 30 30 30 8) + SymbolLine(30 30 35 35 8) + SymbolLine(35 35 35 50 8) + SymbolLine(0 30 5 35 8) +) +Symbol('n' 12) +( + SymbolLine(5 35 5 50 8) + SymbolLine(5 35 10 30 8) + SymbolLine(10 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 20 50 8) + SymbolLine(0 30 5 35 8) +) +Symbol('o' 12) +( + SymbolLine(0 35 0 45 8) + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 15 30 8) + SymbolLine(15 30 20 35 8) + SymbolLine(20 35 20 45 8) + SymbolLine(15 50 20 45 8) + SymbolLine(5 50 15 50 8) + SymbolLine(0 45 5 50 8) +) +Symbol('p' 12) +( + SymbolLine(5 35 5 65 8) + SymbolLine(0 30 5 35 8) + SymbolLine(5 35 10 30 8) + SymbolLine(10 30 20 30 8) + SymbolLine(20 30 25 35 8) + SymbolLine(25 35 25 45 8) + SymbolLine(20 50 25 45 8) + SymbolLine(10 50 20 50 8) + SymbolLine(5 45 10 50 8) +) +Symbol('q' 12) +( + SymbolLine(20 35 20 65 8) + SymbolLine(15 30 20 35 8) + SymbolLine(5 30 15 30 8) + SymbolLine(0 35 5 30 8) + SymbolLine(0 35 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) +) +Symbol('r' 12) +( + SymbolLine(5 35 5 50 8) + SymbolLine(5 35 10 30 8) + SymbolLine(10 30 20 30 8) + SymbolLine(0 30 5 35 8) +) +Symbol('s' 12) +( + SymbolLine(5 50 20 50 8) + SymbolLine(20 50 25 45 8) + SymbolLine(20 40 25 45 8) + SymbolLine(5 40 20 40 8) + SymbolLine(0 35 5 40 8) + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 20 30 8) + SymbolLine(20 30 25 35 8) + SymbolLine(0 45 5 50 8) +) +Symbol('t' 10) +( + SymbolLine(5 10 5 45 8) + SymbolLine(5 45 10 50 8) + SymbolLine(0 25 10 25 8) +) +Symbol('u' 12) +( + SymbolLine(0 30 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) + SymbolLine(20 30 20 45 8) +) +Symbol('v' 12) +( + SymbolLine(0 30 0 40 8) + SymbolLine(0 40 10 50 8) + SymbolLine(10 50 20 40 8) + SymbolLine(20 30 20 40 8) +) +Symbol('w' 12) +( + SymbolLine(0 30 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(5 50 10 50 8) + SymbolLine(10 50 15 45 8) + SymbolLine(15 30 15 45 8) + SymbolLine(15 45 20 50 8) + SymbolLine(20 50 25 50 8) + SymbolLine(25 50 30 45 8) + SymbolLine(30 30 30 45 8) +) +Symbol('x' 12) +( + SymbolLine(0 30 20 50 8) + SymbolLine(0 50 20 30 8) +) +Symbol('y' 12) +( + SymbolLine(0 30 0 45 8) + SymbolLine(0 45 5 50 8) + SymbolLine(20 30 20 60 8) + SymbolLine(15 65 20 60 8) + SymbolLine(5 65 15 65 8) + SymbolLine(0 60 5 65 8) + SymbolLine(5 50 15 50 8) + SymbolLine(15 50 20 45 8) +) +Symbol('z' 12) +( + SymbolLine(0 30 20 30 8) + SymbolLine(0 50 20 30 8) + SymbolLine(0 50 20 50 8) +) +Symbol('{' 12) +( + SymbolLine(5 15 10 10 8) + SymbolLine(5 15 5 25 8) + SymbolLine(0 30 5 25 8) + SymbolLine(0 30 5 35 8) + SymbolLine(5 35 5 45 8) + SymbolLine(5 45 10 50 8) +) +Symbol('|' 12) +( + SymbolLine(0 10 0 50 8) +) +Symbol('}' 12) +( + SymbolLine(0 10 5 15 8) + SymbolLine(5 15 5 25 8) + SymbolLine(5 25 10 30 8) + SymbolLine(5 35 10 30 8) + SymbolLine(5 35 5 45 8) + SymbolLine(0 50 5 45 8) +) +Symbol('~' 12) +( + SymbolLine(0 35 5 30 8) + SymbolLine(5 30 10 30 8) + SymbolLine(10 30 15 35 8) + SymbolLine(15 35 20 35 8) + SymbolLine(20 35 25 30 8) +) +Via[82400 36600 3600 2000 0 2000 "" "thermal(1X)"] +Via[48000 15000 3600 2000 0 2000 "" "thermal(1X)"] +Via[68000 15000 3600 2000 0 2000 "" "thermal(1X)"] +Via[48000 5000 3600 2000 0 2000 "" "thermal(1X)"] +Via[68000 5000 3600 2000 0 2000 "" "thermal(1X)"] +Via[73400 54800 3600 2000 0 2000 "" "thermal(1X)"] +Via[87200 81000 3600 2000 0 2000 "" "thermal(1X)"] +Via[64600 91200 3600 2000 0 2000 "" "thermal(1X)"] +Via[57800 91200 3600 2000 0 2000 "" "thermal(1X)"] +Via[54200 93900 3600 2000 0 2000 "" ""] +Via[51800 98100 3600 2000 0 2000 "" ""] +Via[23600 92300 3600 2000 0 2000 "" "thermal(1X)"] +Via[37900 92800 3600 2000 0 2000 "" ""] +Via[77900 92400 3600 2000 0 2000 "" ""] +Via[92100 91900 3600 2000 0 2000 "" "thermal(1X)"] +Via[93700 67100 3600 2000 0 2000 "" ""] +Via[59700 83200 3600 2000 0 2000 "" ""] +Via[36200 83300 3600 2000 0 2000 "" ""] +Via[40800 86500 3600 2000 0 2000 "" "thermal(1X)"] + +Element["" "" "" "" 22400 95700 200 11100 2 100 ""] +( + ElementLine [-22100 -4400 -9400 -4400 600] + ElementLine [2400 7400 2400 20100 600] + ElementArc [-9400 7400 11800 11800 180 90 600] + + ) + +Element["" "" "" "" 91500 6600 0 0 0 100 ""] +( + ElementLine [24500 18000 11800 18000 600] + ElementLine [0 -6500 0 6200 600] + ElementArc [11800 6200 11800 11800 0 90 600] + + ) + +Element["" "0402" "C12" "0.1uF" 45501 85587 -13461 -1928 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R6" "1.5K" 47393 97474 -3158 -2409 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C22" "3.9pF" 46274 42193 -4109 1710 2 100 ""] +( + Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "square"] + Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R7" "33" 58300 102300 -3344 8943 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C23" "8.2pF" 51374 29693 -7276 -3857 2 100 ""] +( + Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "square"] + Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "hole-M2.5" "H1" "unknown" 23600 11800 -1800 -2800 0 100 ""] +( + Pin[0 0 11000 0 11000 9800 "pin1" "1" "usetherm"] + ElementArc [0 0 8000 8000 0 360 1000] + + ) + +Element["" "0402" "C24" "5.6pF" 51474 24693 14824 3257 2 100 ""] +( + Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "square"] + Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C25" "3.9pF" 56593 41174 -3266 10210 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C36" "1uF" 32526 74707 -12809 -3858 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C30" "22pF" 77874 36293 -13857 -2558 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0603diode" "D2" "red" 84641 92108 -13224 -1857 0 100 ""] +( + Pad[-2559 -492 -2559 492 2952 2000 3552 "1" "1" "square"] + Pad[2559 -492 2559 492 2952 2000 3552 "2" "2" "square"] + ElementLine [3700 -2500 4700 -2500 1000] + ElementLine [3700 2500 4700 2500 1000] + ElementLine [4700 -2500 4700 2500 1000] + + ) + +Element["" "hole-M2.5" "H2" "unknown" 92500 104300 -2900 -4700 0 100 ""] +( + Pin[0 0 11000 0 11000 9800 "pin1" "1" "usetherm"] + ElementArc [0 0 8000 8000 0 360 1000] + + ) + +Element["" "ABM8" "X1" "48mhz" 77958 45765 9200 -1569 0 100 ""] +( + Pad[3642 -5019 3642 -4034 4134 -983 4734 "pin2" "2" "square"] + Pad[3642 4035 3642 5020 4134 -983 4734 "pin1" "1" "square,edge2"] + Pad[-3641 4035 -3641 5020 4134 -983 4734 "pin4" "4" "square,edge2"] + Pad[-3641 -5019 -3641 -4034 4134 -983 4734 "pin3" "3" "square"] + ElementArc [630 6063 500 500 0 360 1000] + + ) + +Element["" "530470510" "J5" "USBcable" 42552 105872 0 0 0 100 ""] +( + Pin[5906 4528 3500 600 4100 2047 "pin5" "5" "edge2"] + Pin[10827 4528 3500 600 4100 2047 "pin4" "4" "edge2,thermal(1X)"] + Pin[15748 4528 3500 600 4100 2047 "pin3" "3" "edge2"] + Pin[20669 4528 3500 600 4100 2047 "pin2" "2" "edge2"] + Pin[25591 4528 3500 600 4100 2047 "pin1" "1" "square,edge2"] + ElementLine [31496 0 0 0 600] + ElementLine [31496 12598 31496 0 600] + ElementLine [0 12598 31496 12598 600] + ElementLine [0 0 0 12598 600] + + ) + +Element["" "0402" "C26" "220pF" 66693 41174 -4992 8890 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0-215079-4" "J6" "Debug" 103600 37500 0 0 3 100 ""] +( + Pin[-10000 0 6299 1200 7299 3150 "pin1" "1" "square,thermal(1X)"] + Pin[0 5000 6299 1200 7299 3150 "pin2" "2" ""] + Pin[-10000 10000 6299 1200 7299 3150 "pin3" "3" ""] + Pin[0 15000 6299 1200 7299 3150 "pin4" "4" ""] + Pin[-2913 -5511 7206 1200 5906 5906 "mnt" "0" ""] + ElementLine [5038 -9428 5038 24429 600] + ElementLine [-15039 24429 5038 24429 600] + ElementLine [-15039 -9428 -15039 24429 600] + ElementLine [-15039 -9428 5038 -9428 600] + + ) + +Element["" "sma-edge" "J8" "SMA" 55000 2000 0 0 0 10 ""] +( + Pad[-7000 3000 -7000 13000 6000 4000 6000 "pin2" "2" "square"] + Pad[3000 3000 3000 13000 6000 4000 6000 "pin1" "1" "square"] + Pad[13000 3000 13000 13000 6000 4000 6000 "pin2" "2" "square"] + + ) + +Element["" "0402" "C20" "0.1uF" 39174 59193 -13224 -3457 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C27" "220pF" 57993 21640 2757 3424 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C21" "220pF" 68226 54993 -12261 -2886 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C31" "22pF" 77974 55307 13209 3058 2 100 ""] +( + Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "square"] + Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "L1" "27nH" 61474 41993 2817 -3435 2 100 ""] +( + Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "square"] + Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C29" "220pF" 68300 51200 -14224 -2757 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0-338068-8" "J9" "CompanionInterface" 22500 37500 0 0 3 100 ""] +( + Pin[-10000 0 6299 1200 7299 3150 "pin1" "1" "square,thermal(1X)"] + Pin[0 5000 6299 1200 7299 3150 "pin2" "2" ""] + Pin[-10000 10000 6299 1200 7299 3150 "pin3" "3" ""] + Pin[0 15000 6299 1200 7299 3150 "pin4" "4" ""] + Pin[-10000 20000 6299 1200 7299 3150 "pin5" "5" ""] + Pin[0 25000 6299 1200 7299 3150 "pin6" "6" ""] + Pin[-10000 30000 6299 1200 7299 3150 "pin7" "7" ""] + Pin[0 35000 6299 1200 7299 3150 "pin8" "8" ""] + Pin[-2913 -5511 7206 1200 5906 5906 "mnt" "0" ""] + ElementLine [5038 -11593 5038 46594 600] + ElementLine [-15039 46594 5038 46594 600] + ElementLine [-15039 -11593 -15039 46594 600] + ElementLine [-15039 -11593 5038 -11593 600] + + ) + +Element["" "0402" "C2" "47pF" 58307 96226 3158 -10491 3 100 ""] +( + Pad[-393 -1574 393 -1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 1574 393 1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "L2" "22nH" 56612 34341 -10391 -2558 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C3" "47pF" 64107 96326 2758 -9991 3 100 ""] +( + Pad[-393 -1574 393 -1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 1574 393 1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "L3" "27nH" 57907 27826 1424 2753 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R8" "33" 64093 102374 -2842 9091 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "L4" "27nH" 52807 41174 -7694 2439 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0603diode" "D1" "yellow" 31375 92508 11091 3158 2 100 ""] +( + Pad[2559 -492 2559 492 2952 2000 3552 "1" "1" "square"] + Pad[-2559 -492 -2559 492 2952 2000 3552 "2" "2" "square"] + ElementLine [-4700 2500 -3700 2500 1000] + ElementLine [-4700 -2500 -3700 -2500 1000] + ElementLine [-4700 -2500 -4700 2500 1000] + + ) + +Element["" "0402" "C28" "0.1uF" 57700 50500 -2657 13924 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C9" "0.1uF" 49993 50174 3242 4891 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R16" "56K" 43193 50974 252 2743 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R17" "2.7k" 32500 71000 -13824 -2857 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C19" "1uF" 32526 63093 -12809 -2742 0 100 ""] +( + Pad[-1574 -393 -1574 393 1968 2000 2568 "1" "1" "square"] + Pad[1574 -393 1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R23" "33k" 32500 67300 15191 4058 2 100 ""] +( + Pad[1574 -393 1574 393 1968 2000 2568 "1" "1" "square"] + Pad[-1574 -393 -1574 393 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "R13" "270" 54568 86254 2858 -13509 3 100 ""] +( + Pad[-393 -1574 393 -1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 1574 393 1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "QFN36" "U9" "CC1111" 55680 67980 0 0 0 100 ""] +( + Pin[-5919 -5919 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[0 -5919 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[5920 -5919 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[-5919 0 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[0 0 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[5920 0 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[-5919 5920 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[0 5920 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pin[5920 5920 2800 1000 0 1500 "pin37" "37" "via,thermal(1X)"] + Pad[0 0 0 0 17323 0 0 "pin37" "37" "square,nopaste"] + Pad[-5919 -5919 -5919 -5919 2960 0 0 "pin37" "37" "square,nopaste"] + Pad[-2959 -5919 -2959 -5919 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-2959 -5919 -2959 -5919 1500 0 2960 "pin37" "37" "square"] + Pad[0 -5919 0 -5919 2960 0 0 "pin37" "37" "square,nopaste"] + Pad[2960 -5919 2960 -5919 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[2960 -5919 2960 -5919 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[5920 -5919 5920 -5919 2960 0 0 "pin37" "37" "square,edge2,nopaste"] + Pad[-5919 -2959 -5919 -2959 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-5919 -2959 -5919 -2959 1500 0 2960 "pin37" "37" "square"] + Pad[-2959 -2959 -2959 -2959 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-2959 -2959 -2959 -2959 1500 0 2960 "pin37" "37" "square"] + Pad[0 -2959 0 -2959 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[0 -2959 0 -2959 1500 0 2960 "pin37" "37" "square"] + Pad[2960 -2959 2960 -2959 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[2960 -2959 2960 -2959 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[5920 -2959 5920 -2959 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[5920 -2959 5920 -2959 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[-5919 0 -5919 0 2960 0 0 "pin37" "37" "square,nopaste"] + Pad[-2959 0 -2959 0 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-2959 0 -2959 0 1500 0 2960 "pin37" "37" "square"] + Pad[0 0 0 0 2960 0 0 "pin37" "37" "square,nopaste"] + Pad[2960 0 2960 0 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[2960 0 2960 0 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[5920 0 5920 0 2960 0 0 "pin37" "37" "square,edge2,nopaste"] + Pad[-5919 2960 -5919 2960 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-5919 2960 -5919 2960 1500 0 2960 "pin37" "37" "square"] + Pad[-2959 2960 -2959 2960 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-2959 2960 -2959 2960 1500 0 2960 "pin37" "37" "square"] + Pad[0 2960 0 2960 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[0 2960 0 2960 1500 0 2960 "pin37" "37" "square"] + Pad[2960 2960 2960 2960 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[2960 2960 2960 2960 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[5920 2960 5920 2960 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[5920 2960 5920 2960 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[-5919 5920 -5919 5920 2960 0 0 "pin37" "37" "square,nopaste"] + Pad[-2959 5920 -2959 5920 2960 0 2960 "pin37" "37" "square,nopaste"] + Pad[-2959 5920 -2959 5920 1500 0 2960 "pin37" "37" "square"] + Pad[0 5920 0 5920 2960 0 0 "pin37" "37" "square,nopaste"] + Pad[2960 5920 2960 5920 2960 0 2960 "pin37" "37" "square,edge2,nopaste"] + Pad[2960 5920 2960 5920 1500 0 2960 "pin37" "37" "square,edge2"] + Pad[5920 5920 5920 5920 2960 0 0 "pin37" "37" "square,edge2,nopaste"] + Pad[-7873 -11849 -7873 -9999 1102 866 1500 "pin27" "27" ""] + Pad[-7873 10000 -7873 11850 1102 866 1500 "pin1" "1" "edge2"] + Pad[10000 -7873 11850 -7873 1102 866 1500 "pin18" "18" "edge2"] + Pad[-11849 -7873 -9999 -7873 1102 866 1500 "pin28" "28" ""] + Pad[-5905 -11849 -5905 -9999 1102 866 1500 "pin26" "26" ""] + Pad[-5905 10000 -5905 11850 1102 866 1500 "pin2" "2" "edge2"] + Pad[10000 -5905 11850 -5905 1102 866 1500 "pin17" "17" "edge2"] + Pad[-11849 -5905 -9999 -5905 1102 866 1500 "pin29" "29" ""] + Pad[-3936 -11849 -3936 -9999 1102 866 1500 "pin25" "25" ""] + Pad[-3936 10000 -3936 11850 1102 866 1500 "pin3" "3" "edge2"] + Pad[10000 -3936 11850 -3936 1102 866 1500 "pin16" "16" "edge2"] + Pad[-11849 -3936 -9999 -3936 1102 866 1500 "pin30" "30" ""] + Pad[-1968 -11849 -1968 -9999 1102 866 1500 "pin24" "24" ""] + Pad[-1968 10000 -1968 11850 1102 866 1500 "pin4" "4" "edge2"] + Pad[10000 -1968 11850 -1968 1102 866 1500 "pin15" "15" "edge2"] + Pad[-11849 -1968 -9999 -1968 1102 866 1500 "pin31" "31" ""] + Pad[0 -11849 0 -9999 1102 866 1500 "pin23" "23" ""] + Pad[0 10000 0 11850 1102 866 1500 "pin5" "5" "edge2"] + Pad[10000 0 11850 0 1102 866 1500 "pin14" "14" "edge2"] + Pad[-11849 0 -9999 0 1102 866 1500 "pin32" "32" ""] + Pad[1969 -11849 1969 -9999 1102 866 1500 "pin22" "22" ""] + Pad[1969 10000 1969 11850 1102 866 1500 "pin6" "6" "edge2"] + Pad[10000 1969 11850 1969 1102 866 1500 "pin13" "13" "edge2"] + Pad[-11849 1969 -9999 1969 1102 866 1500 "pin33" "33" ""] + Pad[3937 -11849 3937 -9999 1102 866 1500 "pin21" "21" ""] + Pad[3937 10000 3937 11850 1102 866 1500 "pin7" "7" "edge2"] + Pad[10000 3937 11850 3937 1102 866 1500 "pin12" "12" "edge2"] + Pad[-11849 3937 -9999 3937 1102 866 1500 "pin34" "34" ""] + Pad[5906 -11849 5906 -9999 1102 866 1500 "pin20" "20" ""] + Pad[5906 10000 5906 11850 1102 866 1500 "pin8" "8" "edge2"] + Pad[10000 5906 11850 5906 1102 866 1500 "pin11" "11" "edge2"] + Pad[-11849 5906 -9999 5906 1102 866 1500 "pin35" "35" ""] + Pad[7874 -11849 7874 -9999 1102 866 1500 "pin19" "19" ""] + Pad[7874 10000 7874 11850 1102 866 1500 "pin9" "9" "edge2"] + Pad[10000 7874 11850 7874 1102 866 1500 "pin10" "10" "edge2"] + Pad[-11849 7874 -9999 7874 1102 866 1500 "pin36" "36" ""] + ElementArc [-10235 10236 500 500 0 360 1000] + + ) + +Element["" "0402" "R12" "270" 50868 86254 2758 -14709 3 100 ""] +( + Pad[-393 -1574 393 -1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 1574 393 1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "SOT23-5" "U1" "TC1055-3.3" 99300 84900 -2100 1900 1 89 ""] +( + Attribute("author" "DJ Delorie") + Attribute("copyright" "2006 DJ Delorie") + Attribute("use-license" "Unlimited") + Attribute("dist-license" "GPL") + Pad[-800 0 800 0 2400 3000 3000 "4" "4" "square,edge2"] + Pad[-800 -7800 800 -7800 2400 3000 3000 "5" "5" "square,edge2"] + Pad[-9000 -7800 -7400 -7800 2400 3000 3000 "1" "1" "square"] + Pad[-9000 -3900 -7400 -3900 2400 3000 3000 "2" "2" "square"] + Pad[-9000 0 -7400 0 2400 3000 3000 "3" "3" "square"] + ElementLine [-11000 -9800 -11000 2000 1000] + ElementLine [-11000 -9800 2900 -9800 1000] + ElementLine [2900 -9800 2900 2000 1000] + ElementLine [-11000 2000 2900 2000 1000] + + ) + +Element["" "0402" "C5" "1uF" 84193 84274 -7142 3243 1 100 ""] +( + Pad[-393 -1574 393 -1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 1574 393 1574 1968 2000 2568 "2" "2" "square"] + + ) + +Element["" "0402" "C37" "1uF" 84193 77526 -7323 2858 1 100 ""] +( + Pad[-393 1574 393 1574 1968 2000 2568 "1" "1" "square"] + Pad[-393 -1574 393 -1574 1968 2000 2568 "2" "2" "square"] + + ) +Rat[49600 51748 0 49775 56131 0 ""] +Rat[49775 56131 0 51744 56131 0 ""] +Rat[51744 56131 0 57649 56131 0 ""] +Rat[57649 56131 0 63554 56131 0 ""] +Rat[49775 56131 0 43831 60107 0 ""] +Rat[40748 58800 0 30926 66907 0 ""] +Rat[63554 56131 0 67530 71917 0 ""] +Rat[43831 62075 0 49775 79830 0 ""] +Rat[22500 42500 1 43831 75854 0 ""] +Rat[49900 24300 0 3261 1000 1 "via"] +Rat[49800 29300 0 3261 1000 1 "via"] +Rat[44700 41800 0 3261 1000 1 "via"] +Rat[66300 39600 0 3261 1000 1 "via"] +Rat[37600 58800 0 3261 1000 1 "via"] +Rat[30952 62700 0 3261 1000 1 "via"] +Rat[57307 48926 0 3261 1000 1 "via"] +Rat[49600 48600 0 3261 1000 1 "via"] +Rat[30952 74314 0 3261 1000 1 "via"] +Rat[42800 49400 0 3261 1000 1 "via"] +Rat[22500 52500 1 43831 73886 0 ""] +Rat[12500 47500 1 43831 71917 0 ""] +Rat[103600 42500 1 30926 70607 0 ""] +Rat[42800 52548 0 47807 56131 0 ""] +Layer(1 "top") +( + Line[48458 110400 53379 110400 2500 2000 "clearline"] + Line[58300 110400 58300 104267 1000 2000 "clearline"] + Line[58300 104267 57907 103874 1000 2000 "clearline"] + Line[58693 97807 58700 97800 1000 2000 "clearline"] + Line[58693 100726 58693 97807 1000 2000 "clearline"] + Line[63586 97714 63600 97700 1000 2000 "clearline"] + Line[63586 100700 63586 97714 1000 2000 "clearline"] + Line[69900 53986 72586 53986 1000 2000 "clearline"] + Line[79548 54914 79548 52837 1000 2000 "clearline"] + Line[79548 52837 81600 50785 1000 2000 "clearline"] + Line[76300 36686 76300 38763 1000 2000 "clearline"] + Line[76300 38763 74317 40746 1000 2000 "clearline"] + Line[61586 56131 61586 47914 1000 2000 "clearline"] + Line[61586 47914 62800 46700 1000 2000 "clearline"] + Line[62800 46700 78500 46700 1000 2000 "clearline"] + Line[78500 46700 81600 49800 1000 2000 "clearline"] + Line[59617 56131 59617 46883 1000 2000 "clearline"] + Line[59617 46883 61400 45100 1000 2000 "clearline"] + Line[73514 54914 72586 53986 1000 2000 "clearline"] + Line[66538 54200 66752 53986 1000 2000 "clearline"] + Line[63554 56131 63554 55546 1000 2000 "clearline"] + Line[63554 55546 64900 54200 1000 2000 "clearline"] + Line[64900 54200 66538 54200 1000 2000 "clearline"] + Line[53712 79830 53712 84531 1000 2000 "clearline"] + Line[47786 99048 47786 99886 1000 2000 "clearline"] + Line[51744 79830 51744 85297 1000 2000 "clearline"] + Line[47786 99886 58300 110400 1000 2000 "clearline"] + Line[40800 86500 43407 86500 1000 2000 "clearline"] + Line[43407 86500 43927 85980 1000 2000 "clearline"] + Line[49775 79830 49775 81266 1000 2000 "clearline"] + Line[49775 81266 47061 83980 1000 2000 "clearline"] + Line[47061 83980 47061 85394 1000 2000 "clearline"] + Line[47061 85394 47447 85780 1000 2000 "clearline"] + Line[70948 45100 74317 41731 1000 2000 "clearline"] + Line[61400 45100 70948 45100 1000 2000 "clearline"] + Line[57649 56131 57649 52854 1000 2000 "clearline"] + Line[57649 52854 57309 52514 1000 2000 "clearline"] + Line[55680 56131 55680 44054 1000 2000 "clearline"] + Line[55680 44054 56986 42748 1000 2000 "clearline"] + Line[53712 56131 53712 43260 1000 2000 "clearline"] + Line[53712 43260 53200 42748 1000 2000 "clearline"] + Line[57600 20066 57600 15400 1000 2000 "clearline"] + Line[57600 15400 58000 15000 1000 2000 "clearline"] + Line[58300 26252 58300 23300 1000 2000 "clearline"] + Line[58300 23300 58386 23214 1000 2000 "clearline"] + Line[58186 33948 58186 29514 1000 2000 "clearline"] + Line[58186 29514 58300 29400 1000 2000 "clearline"] + Line[55038 34734 55038 38438 1000 2000 "clearline"] + Line[55038 38438 56200 39600 1000 2000 "clearline"] + Line[52414 39600 56200 39600 1000 2000 "clearline"] + Line[60000 42800 57038 42800 1000 2000 "clearline"] + Line[57038 42800 56986 42748 1000 2000 "clearline"] + Line[66300 42748 63200 42748 1000 2000 "clearline"] + Line[63200 42748 63148 42800 1000 2000 "clearline"] + Line[57514 29400 53048 29400 1000 2000 "clearline"] + Line[53048 29400 52948 29300 1000 2000 "clearline"] + Line[53048 25086 55728 25086 1000 2000 "clearline"] + Line[55728 25086 57600 23214 1000 2000 "clearline"] + Line[53048 25086 56348 25086 1000 2000 "clearline"] + Line[56348 25086 57514 26252 1000 2000 "clearline"] + Line[52414 42748 48010 42748 1000 2000 "clearline"] + Line[48010 42748 47848 42586 1000 2000 "clearline"] + Line[79448 36686 82314 36686 1000 2000 "clearline"] + Line[82314 36686 82400 36600 1000 2000 "clearline"] + Line[81600 40746 81600 37400 1000 2000 "clearline"] + Line[81600 37400 82400 36600 1000 2000 "clearline"] + Line[74317 50785 74317 53883 1000 2000 "clearline"] + Line[74317 53883 73400 54800 1000 2000 "clearline"] + Line[76400 54914 73514 54914 1000 2000 "clearline"] + Line[91900 84900 95100 84900 1000 2000 "clearline"] + Line[95100 84900 95100 77200 1000 2000 "clearline"] + Line[95100 77200 92000 77200 1000 2000 "clearline"] + Line[92000 77200 91900 77100 1000 2000 "clearline"] + Line[90300 81000 86286 81000 1000 2000 "clearline"] + Line[86286 81000 84586 82700 1000 2000 "clearline"] + Line[87200 81000 86486 81000 1000 2000 "clearline"] + Line[86486 81000 84586 79100 1000 2000 "clearline"] + Line[89352 85848 90300 84900 1000 2000 "clearline"] + Line[83800 85848 89352 85848 1000 2000 "clearline"] + Line[96300 73300 100100 77100 1000 2000 "clearline"] + Line[84586 75952 84586 74914 1000 2000 "clearline"] + Line[86200 73300 96300 73300 1000 2000 "clearline"] + Line[84586 74914 86200 73300 1000 2000 "clearline"] + Line[63221 110400 63221 105213 1000 2000 "clearline"] + Line[63221 105213 64486 103948 1000 2000 "clearline"] + Line[47075 85980 47075 95825 1000 2000 "clearline"] + Line[47075 95825 47000 95900 1000 2000 "clearline"] + Line[67530 73886 71486 73886 1000 2000 "clearline"] + Line[71486 73886 73000 75400 1000 2000 "clearline"] + Line[81298 92400 82082 91616 1000 2000 "clearline"] + Line[92100 91900 87484 91900 1000 2000 "clearline"] + Line[87484 91900 87200 91616 1000 2000 "clearline"] + Line[67530 75854 69454 75854 1000 2000 "clearline"] + Line[69454 75854 70300 76700 1000 2000 "clearline"] + Line[70300 76700 70300 79900 1000 2000 "clearline"] + Line[70300 79900 61300 88900 1000 2000 "clearline"] + Line[61300 88900 61300 97100 1000 2000 "clearline"] + Line[61300 97100 60400 98000 1000 2000 "clearline"] + Line[60400 98000 58900 98000 1000 2000 "clearline"] + Line[58900 98000 58700 97800 1000 2000 "clearline"] + Line[58700 94652 58700 92100 1000 2000 "clearline"] + Line[58700 92100 57800 91200 1000 2000 "clearline"] + Line[64500 94752 64500 91300 1000 2000 "clearline"] + Line[64500 91300 64600 91200 1000 2000 "clearline"] + Line[54175 87828 54175 93875 1000 2000 "clearline"] + Line[54175 93875 54200 93900 1000 2000 "clearline"] + Line[50475 87828 50475 96775 1000 2000 "clearline"] + Line[50475 96775 51800 98100 1000 2000 "clearline"] + Line[37900 92800 34134 92800 1000 2000 "clearline"] + Line[34134 92800 33934 93000 1000 2000 "clearline"] + Line[23600 92300 28532 92300 1000 2000 "clearline"] + Line[28532 92300 28816 92016 1000 2000 "clearline"] + Line[77900 92400 81298 92400 1000 2000 "clearline"] + Line[73000 75500 73000 81900 1000 2000 "clearline"] + Line[73000 81900 68400 86500 1000 2000 "clearline"] + Line[68400 86500 68400 96800 1000 2000 "clearline"] + Line[68400 96800 67400 97800 1000 2000 "clearline"] + Line[67400 97800 64600 97800 1000 2000 "clearline"] + Line[64600 97800 64500 97900 1000 2000 "clearline"] + Line[68143 110400 68200 110400 2500 2000 "clearline"] + Line[68200 110400 73000 105600 2500 2000 "clearline"] + Line[73000 105600 73000 89800 2500 2000 "clearline"] + Line[73000 89800 76200 86600 2500 2000 "clearline"] + Line[76200 86600 88600 86600 2500 2000 "clearline"] + Line[88600 86600 90300 84900 2500 2000 "clearline"] + Line[67530 64044 92056 64044 1000 2000 "clearline"] + Line[92056 64044 103600 52500 1000 2000 "clearline"] + Line[67530 66012 92612 66012 1000 2000 "clearline"] + Line[92612 66012 93700 67100 1000 2000 "clearline"] + Line[67530 71917 80551 71917 1000 2000 "clearline"] + Line[80551 71917 84586 75952 1000 2000 "clearline"] + Line[59617 79830 59617 83117 1000 2000 "clearline"] + Line[59617 83117 59700 83200 1000 2000 "clearline"] + Line[6200 63800 12500 57500 1000 2000 "clearline"] + Line[8800 83300 6200 80700 1000 2000 "clearline"] + Line[6200 80700 6200 63800 1000 2000 "clearline"] + Line[37669 66012 37074 66607 1000 2000 "clearline"] + Line[12607 67393 12500 67500 1000 2000 "clearline"] + Line[36200 83300 8800 83300 1000 2000 "clearline"] + Line[43831 66012 34969 66012 1000 2000 "clearline"] + Line[34969 66012 34074 66907 1000 2000 "clearline"] + Line[34074 66907 34074 74288 1000 2000 "clearline"] + Line[34074 74288 34100 74314 1000 2000 "clearline"] + Line[43831 64044 35444 64044 1000 2000 "clearline"] + Line[35444 64044 34100 62700 1000 2000 "clearline"] + Line[43831 62075 43237 62075 1000 2000 "clearline"] + Line[43237 62075 40748 59586 1000 2000 "clearline"] + Line[43831 60107 42055 60107 1000 2000 "clearline"] + Line[42055 60107 40748 58800 1000 2000 "clearline"] + Line[66726 51593 66726 54526 1000 2000 "clearline"] + Line[66726 54526 66652 54600 1000 2000 "clearline"] + Line[69874 51593 69874 54526 1000 2000 "clearline"] + Line[69874 54526 69800 54600 1000 2000 "clearline"] + Line[12500 67500 30733 67500 1000 2000 "clearline"] + Line[30733 67500 30926 67693 1000 2000 "clearline"] +) +Layer(2 "bottom") +( + Line[37900 92800 46500 92800 1000 2000 "clearline"] + Line[46500 92800 51800 98100 1000 2000 "clearline"] + Line[54200 93900 54200 94086 1000 2000 "clearline"] + Line[54200 94086 57914 97800 1000 2000 "clearline"] + Line[57914 97800 72500 97800 1000 2000 "clearline"] + Line[72500 97800 77900 92400 1000 2000 "clearline"] + Line[93600 47500 93600 67000 1000 2000 "clearline"] + Line[93600 67000 93700 67100 1000 2000 "clearline"] + Line[59700 83200 36300 83200 1000 2000 "clearline"] + Line[36300 83200 36200 83300 1000 2000 "clearline"] + Polygon("clearpoly") + ( + [1000 115100] [115100 115100] [115100 1000] [1000 1000] + ) +) +Layer(3 "silk") +( +) +Layer(4 "silk") +( +) +NetList() +( + Net("+3.3V" "(unknown)") + ( + Connect("C9-1") + Connect("C12-2") + Connect("C20-2") + Connect("C21-1") + Connect("C28-1") + Connect("C29-1") + Connect("C37-2") + Connect("J9-7") + Connect("R6-2") + Connect("R23-2") + Connect("U1-5") + Connect("U9-2") + Connect("U9-12") + Connect("U9-19") + Connect("U9-22") + Connect("U9-25") + Connect("U9-26") + Connect("U9-28") + Connect("U9-29") + ) + Net("c0" "(unknown)") + ( + Connect("J9-2") + Connect("U9-36") + ) + Net("cs0" "(unknown)") + ( + Connect("U9-1") + ) + Net("cs1" "(unknown)") + ( + Connect("J9-6") + ) + Net("debug_clock" "(unknown)") + ( + Connect("J6-4") + Connect("U9-16") + ) + Net("debug_data" "(unknown)") + ( + Connect("J6-3") + Connect("U9-15") + ) + Net("drogue" "(unknown)") + ( + Connect("U9-17") + ) + Net("GND" "(unknown)") + ( + Connect("C2-1") + Connect("C3-1") + Connect("C5-1") + Connect("C9-2") + Connect("C12-1") + Connect("C19-1") + Connect("C20-1") + Connect("C21-2") + Connect("C22-2") + Connect("C23-2") + Connect("C24-2") + Connect("C26-2") + Connect("C28-2") + Connect("C29-2") + Connect("C30-2") + Connect("C31-2") + Connect("C36-1") + Connect("C37-1") + Connect("D1-2") + Connect("D2-2") + Connect("H1-1") + Connect("H2-1") + Connect("J5-4") + Connect("J5-5") + Connect("J6-1") + Connect("J8-2") + Connect("J9-1") + Connect("R16-2") + Connect("U1-2") + Connect("U9-37") + Connect("X1-2") + Connect("X1-4") + ) + Net("irq" "(unknown)") + ( + Connect("J9-5") + Connect("U9-7") + ) + Net("led_red" "(unknown)") + ( + Connect("R13-1") + Connect("U9-4") + ) + Net("led_yellow" "(unknown)") + ( + Connect("R12-1") + Connect("U9-3") + ) + Net("main" "(unknown)") + ( + Connect("U9-18") + ) + Net("mi0" "(unknown)") + ( + Connect("J9-4") + Connect("U9-35") + ) + Net("mo0" "(unknown)") + ( + Connect("J9-3") + Connect("U9-34") + ) + Net("padm" "(unknown)") + ( + Connect("C3-2") + Connect("R8-2") + Connect("U9-11") + ) + Net("padp" "(unknown)") + ( + Connect("C2-2") + Connect("R7-2") + Connect("U9-10") + ) + Net("reset_n" "(unknown)") + ( + Connect("J6-2") + Connect("R17-1") + ) + Net("rstn" "(unknown)") + ( + Connect("C36-2") + Connect("R17-2") + Connect("R23-1") + Connect("U9-31") + ) + Net("unnamed_net1" "(unknown)") + ( + Connect("J5-2") + Connect("R8-1") + ) + Net("unnamed_net2" "(unknown)") + ( + Connect("J5-3") + Connect("R6-1") + Connect("R7-1") + ) + Net("unnamed_net3" "(unknown)") + ( + Connect("C30-1") + Connect("U9-21") + Connect("X1-3") + ) + Net("unnamed_net4" "(unknown)") + ( + Connect("C31-1") + Connect("U9-20") + Connect("X1-1") + ) + Net("unnamed_net5" "(unknown)") + ( + Connect("R16-1") + Connect("U9-27") + ) + Net("unnamed_net6" "(unknown)") + ( + Connect("C22-1") + Connect("L4-1") + Connect("U9-24") + ) + Net("unnamed_net7" "(unknown)") + ( + Connect("C25-1") + Connect("L1-2") + Connect("U9-23") + ) + Net("unnamed_net8" "(unknown)") + ( + Connect("C19-2") + Connect("U9-30") + ) + Net("unnamed_net9" "(unknown)") + ( + Connect("C25-2") + Connect("L2-1") + Connect("L4-2") + ) + Net("unnamed_net10" "(unknown)") + ( + Connect("C23-1") + Connect("L2-2") + Connect("L3-1") + ) + Net("unnamed_net11" "(unknown)") + ( + Connect("C26-1") + Connect("L1-1") + ) + Net("unnamed_net12" "(unknown)") + ( + Connect("C24-1") + Connect("C27-1") + Connect("L3-2") + ) + Net("unnamed_net13" "(unknown)") + ( + Connect("C27-2") + Connect("J8-1") + ) + Net("unnamed_net14" "(unknown)") + ( + Connect("D2-1") + Connect("R13-2") + ) + Net("unnamed_net15" "(unknown)") + ( + Connect("D1-1") + Connect("R12-2") + ) + Net("v_lipo" "(unknown)") + ( + Connect("J9-8") + ) + Net("v_usb" "(unknown)") + ( + Connect("C5-2") + Connect("J5-1") + Connect("U1-1") + Connect("U1-3") + ) +) diff --git a/teledongle.sch b/teledongle.sch new file mode 100644 index 0000000..b683f0a --- /dev/null +++ b/teledongle.sch @@ -0,0 +1,975 @@ +v 20081231 1 +C 40000 40000 0 0 0 title-D-bdale.sym +C 47200 57600 1 0 0 miniUSB.sym +{ +T 47495 60000 5 10 1 1 0 0 1 +refdes=J5 +T 47555 57995 5 10 0 1 0 0 1 +footprint=530470510 +T 47200 57600 5 10 0 1 0 0 1 +value=USBcable +T 47200 57600 5 10 0 1 0 0 1 +device=CONNECTOR +T 47200 57600 5 10 0 0 0 0 1 +loadstatus=noload +} +N 46700 57800 46700 58400 4 +N 46700 58400 47200 58400 4 +N 47200 58000 46700 58000 4 +N 46400 60400 46400 59600 4 +N 46400 59600 47200 59600 4 +N 46400 60400 51900 60400 4 +{ +T 46600 59700 5 10 1 1 0 0 1 +netname=v_usb +} +C 52900 58600 1 0 0 gnd-1.sym +T 40000 40000 8 10 1 1 0 0 1 +value=270 +C 51400 59100 1 90 0 capacitor-1.sym +{ +T 50700 59300 5 10 0 0 90 0 1 +device=CAPACITOR +T 51700 59900 5 10 1 1 180 0 1 +refdes=C5 +T 50500 59300 5 10 0 0 90 0 1 +symversion=0.1 +T 51300 59200 5 10 1 1 0 0 1 +value=1uF +T 51400 59100 5 10 0 0 0 0 1 +vendor_part_number=490-1320-1-ND +T 51400 59100 5 10 0 0 0 0 1 +footprint=0402 +T 51400 59100 5 10 0 0 0 0 1 +vendor=digikey +} +N 51200 60400 51200 60000 4 +N 51200 59100 51200 58900 4 +C 51900 59500 1 0 0 LP2980.sym +{ +T 52295 60695 5 10 1 1 0 0 1 +refdes=U1 +T 52495 60195 5 10 0 1 0 0 1 +device=IC +T 51895 59495 5 10 0 1 0 0 1 +footprint=SOT23-5 +T 51900 59500 5 10 0 0 0 0 1 +vendor_part_number=TC10553.3VCT713CT-ND +T 51900 59500 5 10 0 0 0 0 1 +vendor=digikey +T 51900 59500 5 10 1 1 0 0 1 +value=TC1055-3.3 +} +N 53000 58900 53000 59500 4 +T 40000 40000 8 10 1 1 0 0 1 +netname=3.3V +C 50600 45500 1 0 0 CC1111.sym +{ +T 54800 57800 5 10 1 1 0 0 1 +refdes=U9 +T 50600 45500 5 10 0 0 0 0 1 +vendor_part_number=296-22684-1-ND +T 50600 45500 5 10 0 0 0 0 1 +footprint=QFN36 +T 50600 45500 5 10 0 2 0 0 1 +device=IC +T 50600 45500 5 10 0 0 0 0 1 +vendor=digikey +T 50600 45500 5 10 0 1 0 0 1 +value=CC1111 +} +N 50600 56100 50200 56100 4 +N 50200 56100 50200 58300 4 +N 55900 58300 55900 56000 4 +N 55500 56400 55900 56400 4 +N 55500 56800 55900 56800 4 +N 55500 57200 55900 57200 4 +N 50600 57300 50200 57300 4 +N 50600 56900 50200 56900 4 +N 50600 56500 50200 56500 4 +C 48900 55500 1 0 0 capacitor-1.sym +{ +T 49100 56200 5 10 0 0 0 0 1 +device=CAPACITOR +T 48800 55900 5 10 1 1 0 0 1 +refdes=C19 +T 49100 56400 5 10 0 0 0 0 1 +symversion=0.1 +T 49500 55900 5 10 1 1 0 0 1 +value=1uF +T 48900 55500 5 10 0 0 0 0 1 +vendor_part_number=490-1320-1-ND +T 48900 55500 5 10 0 0 0 0 1 +footprint=0402 +T 48900 55500 5 10 0 0 0 0 1 +vendor=digikey +} +C 48900 56300 1 0 0 capacitor-1.sym +{ +T 49100 57000 5 10 0 0 0 0 1 +device=CAPACITOR +T 48800 56700 5 10 1 1 0 0 1 +refdes=C20 +T 49100 57200 5 10 0 0 0 0 1 +symversion=0.1 +T 49500 56700 5 10 1 1 0 0 1 +value=0.1uF +T 48900 56300 5 10 0 0 0 0 1 +vendor_part_number=399-3027-1-ND +T 48900 56300 5 10 0 0 0 0 1 +footprint=0402 +T 48900 56300 5 10 0 0 0 0 1 +vendor=digikey +} +C 48900 57100 1 0 0 capacitor-1.sym +{ +T 49100 57800 5 10 0 0 0 0 1 +device=CAPACITOR +T 48900 57500 5 10 1 1 0 0 1 +refdes=C12 +T 49100 58000 5 10 0 0 0 0 1 +symversion=0.1 +T 49500 57500 5 10 1 1 0 0 1 +value=0.1uF +T 48900 57100 5 10 0 0 0 0 1 +vendor_part_number=399-3027-1-ND +T 48900 57100 5 10 0 0 0 0 1 +footprint=0402 +T 48900 57100 5 10 0 0 0 0 1 +vendor=digikey +} +N 49800 57300 50200 57300 4 +N 49800 56500 50200 56500 4 +N 49800 55700 50600 55700 4 +N 48900 57300 48700 57300 4 +N 48700 57300 48700 55400 4 +N 48900 55700 48700 55700 4 +N 48900 56500 48700 56500 4 +C 48600 55100 1 0 0 gnd-1.sym +C 56100 56000 1 270 0 capacitor-1.sym +{ +T 56800 55800 5 10 0 0 270 0 1 +device=CAPACITOR +T 56400 55800 5 10 1 1 0 0 1 +refdes=C21 +T 57000 55800 5 10 0 0 270 0 1 +symversion=0.1 +T 56400 55200 5 10 1 1 0 0 1 +value=220pF +T 56100 56000 5 10 0 0 0 0 1 +vendor_part_number=490-1293-1-ND +T 56100 56000 5 10 0 0 0 0 1 +footprint=0402 +T 56100 56000 5 10 0 0 0 0 1 +vendor=digikey +} +C 57100 56000 1 270 0 capacitor-1.sym +{ +T 57800 55800 5 10 0 0 270 0 1 +device=CAPACITOR +T 57400 55800 5 10 1 1 0 0 1 +refdes=C9 +T 58000 55800 5 10 0 0 270 0 1 +symversion=0.1 +T 57400 55200 5 10 1 1 0 0 1 +value=0.1uF +T 57100 56000 5 10 0 0 0 0 1 +vendor_part_number=399-3027-1-ND +T 57100 56000 5 10 0 0 0 0 1 +footprint=0402 +T 57100 56000 5 10 0 0 0 0 1 +vendor=digikey +} +C 58100 56000 1 270 0 capacitor-1.sym +{ +T 58800 55800 5 10 0 0 270 0 1 +device=CAPACITOR +T 58400 55800 5 10 1 1 0 0 1 +refdes=C28 +T 59000 55800 5 10 0 0 270 0 1 +symversion=0.1 +T 58400 55200 5 10 1 1 0 0 1 +value=0.1uF +T 58100 56000 5 10 0 0 0 0 1 +vendor_part_number=399-3027-1-ND +T 58100 56000 5 10 0 0 0 0 1 +footprint=0402 +T 58100 56000 5 10 0 0 0 0 1 +vendor=digikey +} +C 59100 56000 1 270 0 capacitor-1.sym +{ +T 59800 55800 5 10 0 0 270 0 1 +device=CAPACITOR +T 59400 55800 5 10 1 1 0 0 1 +refdes=C29 +T 60000 55800 5 10 0 0 270 0 1 +symversion=0.1 +T 59400 55200 5 10 1 1 0 0 1 +value=220pF +T 59100 56000 5 10 0 0 0 0 1 +vendor_part_number=490-1293-1-ND +T 59100 56000 5 10 0 0 0 0 1 +footprint=0402 +T 59100 56000 5 10 0 0 0 0 1 +vendor=digikey +} +N 55500 56000 56300 56000 4 +N 55900 56400 57300 56400 4 +N 57300 56400 57300 56000 4 +N 55900 56800 58300 56800 4 +N 58300 56000 58300 56800 4 +N 59300 56000 59300 57200 4 +N 59300 57200 55900 57200 4 +C 59200 54800 1 0 0 gnd-1.sym +C 58200 54800 1 0 0 gnd-1.sym +C 57200 54800 1 0 0 gnd-1.sym +C 56200 54800 1 0 0 gnd-1.sym +C 59500 52800 1 270 0 capacitor-1.sym +{ +T 60200 52600 5 10 0 0 270 0 1 +device=CAPACITOR +T 59900 52500 5 10 1 1 0 0 1 +refdes=C22 +T 60400 52600 5 10 0 0 270 0 1 +symversion=0.1 +T 59900 52000 5 10 1 1 0 0 1 +value=3.9pF +T 59500 52800 5 10 0 0 0 0 1 +vendor_part_number=490-1272-1-ND +T 59500 52800 5 10 0 0 0 0 1 +footprint=0402 +T 59500 52800 5 10 0 0 0 0 1 +vendor=digikey +} +C 61000 52700 1 0 0 inductor-1.sym +{ +T 61200 53200 5 10 0 0 0 0 1 +device=INDUCTOR +T 61200 53000 5 10 1 1 0 0 1 +refdes=L4 +T 61200 53400 5 10 0 0 0 0 1 +symversion=0.1 +T 61200 52600 5 10 1 1 0 0 1 +value=27nH +T 61000 52700 5 10 0 0 0 0 1 +vendor_part_number=490-2628-1-ND +T 61000 52700 5 10 0 0 0 0 1 +footprint=0402 +T 61000 52700 5 10 0 0 0 0 1 +vendor=digikey +} +C 62300 53500 1 0 0 inductor-1.sym +{ +T 62500 54000 5 10 0 0 0 0 1 +device=INDUCTOR +T 62500 53800 5 10 1 1 0 0 1 +refdes=L2 +T 62500 54200 5 10 0 0 0 0 1 +symversion=0.1 +T 62600 53400 5 10 1 1 0 0 1 +value=22nH +T 62300 53500 5 10 0 0 0 0 1 +vendor_part_number=490-2627-1-ND +T 62300 53500 5 10 0 0 0 0 1 +footprint=0402 +T 62300 53500 5 10 0 0 0 0 1 +vendor=digikey +} +C 59600 54500 1 270 0 inductor-1.sym +{ +T 60100 54300 5 10 0 0 270 0 1 +device=INDUCTOR +T 59900 54200 5 10 1 1 0 0 1 +refdes=L1 +T 60300 54300 5 10 0 0 270 0 1 +symversion=0.1 +T 59900 53900 5 10 1 1 0 0 1 +value=27nH +T 59600 54500 5 10 0 0 0 0 1 +vendor_part_number=490-2628-1-ND +T 59600 54500 5 10 0 0 0 0 1 +footprint=0402 +T 59600 54500 5 10 0 0 0 0 1 +vendor=digikey +} +C 61000 54300 1 0 0 capacitor-1.sym +{ +T 61200 55000 5 10 0 0 0 0 1 +device=CAPACITOR +T 60900 54800 5 10 1 1 0 0 1 +refdes=C26 +T 61200 55200 5 10 0 0 0 0 1 +symversion=0.1 +T 61600 54800 5 10 1 1 0 0 1 +value=220pF +T 61000 54300 5 10 0 0 0 0 1 +vendor_part_number=490-1293-1-ND +T 61000 54300 5 10 0 0 0 0 1 +footprint=0402 +T 61000 54300 5 10 0 0 0 0 1 +vendor=digikey +} +C 61800 54200 1 0 0 gnd-1.sym +N 61000 54500 59700 54500 4 +N 55500 53600 61000 53600 4 +C 61000 53400 1 0 0 capacitor-1.sym +{ +T 61200 54100 5 10 0 0 0 0 1 +device=CAPACITOR +T 60900 53900 5 10 1 1 0 0 1 +refdes=C25 +T 61200 54300 5 10 0 0 0 0 1 +symversion=0.1 +T 61600 53900 5 10 1 1 0 0 1 +value=3.9pF +T 61000 53400 5 10 0 0 0 0 1 +vendor_part_number=490-1272-1-ND +T 61000 53400 5 10 0 0 0 0 1 +footprint=0402 +T 61000 53400 5 10 0 0 0 0 1 +vendor=digikey +} +N 61900 53600 62300 53600 4 +N 61900 52800 62100 52800 4 +N 62100 52800 62100 53600 4 +N 61000 52800 55500 52800 4 +C 59600 51600 1 0 0 gnd-1.sym +C 65200 53400 1 0 0 capacitor-1.sym +{ +T 65400 54100 5 10 0 0 0 0 1 +device=CAPACITOR +T 65000 53900 5 10 1 1 0 0 1 +refdes=C27 +T 65400 54300 5 10 0 0 0 0 1 +symversion=0.1 +T 65800 53900 5 10 1 1 0 0 1 +value=220pF +T 65200 53400 5 10 0 0 0 0 1 +vendor_part_number=490-1293-1-ND +T 65200 53400 5 10 0 0 0 0 1 +footprint=0402 +T 65200 53400 5 10 0 0 0 0 1 +vendor=digikey +} +C 63300 53200 1 270 0 capacitor-1.sym +{ +T 64000 53000 5 10 0 0 270 0 1 +device=CAPACITOR +T 63700 52900 5 10 1 1 0 0 1 +refdes=C23 +T 64200 53000 5 10 0 0 270 0 1 +symversion=0.1 +T 63700 52400 5 10 1 1 0 0 1 +value=8.2pF +T 63300 53200 5 10 0 0 0 0 1 +vendor_part_number=587-1946-1-ND +T 63300 53200 5 10 0 0 0 0 1 +footprint=0402 +T 63300 53200 5 10 0 0 0 0 1 +vendor=digikey +} +N 63500 53200 63500 53600 4 +C 63400 52000 1 0 0 gnd-1.sym +C 64800 53200 1 270 0 capacitor-1.sym +{ +T 65200 52900 5 10 1 1 0 0 1 +refdes=C24 +T 65500 53000 5 10 0 0 270 0 1 +device=CAPACITOR +T 65700 53000 5 10 0 0 270 0 1 +symversion=0.1 +T 65200 52400 5 10 1 1 0 0 1 +value=5.6pF +T 64800 53200 5 10 0 0 0 0 1 +vendor_part_number=490-1275-1-ND +T 64800 53200 5 10 0 0 0 0 1 +footprint=0402 +T 64800 53200 5 10 0 0 0 0 1 +vendor=digikey +} +C 64900 52000 1 0 0 gnd-1.sym +C 63800 53500 1 0 0 inductor-1.sym +{ +T 64000 54000 5 10 0 0 0 0 1 +device=INDUCTOR +T 64000 53800 5 10 1 1 0 0 1 +refdes=L3 +T 64000 54200 5 10 0 0 0 0 1 +symversion=0.1 +T 64100 53400 5 10 1 1 0 0 1 +value=27nH +T 63800 53500 5 10 0 0 0 0 1 +vendor_part_number=490-2628-1-ND +T 63800 53500 5 10 0 0 0 0 1 +footprint=0402 +T 63800 53500 5 10 0 0 0 0 1 +vendor=digikey +} +N 63200 53600 63800 53600 4 +N 64700 53600 65200 53600 4 +N 65000 53600 65000 53200 4 +C 66700 53100 1 0 0 conn-2.sym +{ +T 66300 53700 5 10 0 0 0 0 1 +footprint=sma-edge +T 67005 53745 5 10 1 1 0 0 1 +refdes=J8 +T 67000 52800 5 10 1 1 0 0 1 +value=SMA +T 66700 53100 5 10 0 0 0 0 1 +vendor_part_number=CONSMA003.062-ND +T 66700 53100 5 10 0 0 0 0 1 +footprint=sma-edge +T 66700 53100 5 10 0 0 0 0 1 +loadstatus=noload +T 66700 53100 5 10 0 0 0 0 1 +vendor=digikey +T 66700 53100 5 10 0 1 0 0 1 +device=CONNECTOR +} +N 66100 53600 66700 53600 4 +C 66500 52800 1 0 0 gnd-1.sym +N 66700 53200 66600 53200 4 +N 66600 53200 66600 53100 4 +C 55600 45300 1 0 0 gnd-1.sym +N 55500 46000 55700 46000 4 +N 55700 46000 55700 45600 4 +C 56200 46600 1 270 0 resistor-1.sym +{ +T 56600 46300 5 10 0 0 270 0 1 +device=RESISTOR +T 56500 46400 5 10 1 1 0 0 1 +refdes=R16 +T 56500 45900 5 10 1 1 0 0 1 +value=56K +T 56200 46600 5 10 0 0 0 0 1 +footprint=0402 +T 56200 46600 5 10 0 0 0 0 1 +vendor_part_number=RHM56.0KLCT-ND +T 56200 46600 5 10 0 0 0 0 1 +vendor=digikey +} +N 56300 45700 56300 45600 4 +N 56300 46600 56300 46800 4 +N 56300 46800 55500 46800 4 +N 55500 47600 58300 47600 4 +N 55500 48000 59300 48000 4 +N 59300 47600 59000 47600 4 +C 59100 46600 1 270 0 capacitor-1.sym +{ +T 59800 46400 5 10 0 0 270 0 1 +device=CAPACITOR +T 59500 46400 5 10 1 1 0 0 1 +refdes=C30 +T 60000 46400 5 10 0 0 270 0 1 +symversion=0.1 +T 59500 45800 5 10 1 1 0 0 1 +value=22pF +T 59100 46600 5 10 0 0 0 0 1 +vendor_part_number=490-1283-1-ND +T 59100 46600 5 10 0 0 0 0 1 +footprint=0402 +T 59100 46600 5 10 0 0 0 0 1 +vendor=digikey +} +C 57800 46600 1 270 0 capacitor-1.sym +{ +T 58500 46400 5 10 0 0 270 0 1 +device=CAPACITOR +T 58200 46400 5 10 1 1 0 0 1 +refdes=C31 +T 58700 46400 5 10 0 0 270 0 1 +symversion=0.1 +T 58200 45800 5 10 1 1 0 0 1 +value=22pF +T 57800 46600 5 10 0 0 0 0 1 +vendor_part_number=490-1283-1-ND +T 57800 46600 5 10 0 0 0 0 1 +footprint=0402 +T 57800 46600 5 10 0 0 0 0 1 +vendor=digikey +} +C 59200 45400 1 0 0 gnd-1.sym +C 57900 45400 1 0 0 gnd-1.sym +N 58000 46600 58000 47600 4 +N 59300 46600 59300 48000 4 +T 67700 53300 9 10 1 0 0 0 1 +70CM Antenna +C 48000 45500 1 90 0 capacitor-1.sym +{ +T 47300 45700 5 10 0 0 90 0 1 +device=CAPACITOR +T 48200 46300 5 10 1 1 180 0 1 +refdes=C2 +T 47100 45700 5 10 0 0 90 0 1 +symversion=0.1 +T 47900 45600 5 10 1 1 0 0 1 +value=47pF +T 48000 45500 5 10 0 0 0 0 1 +footprint=0402 +T 48000 45500 5 10 0 0 0 0 1 +vendor_part_number=399-1019-1-ND +T 48000 45500 5 10 0 0 0 0 1 +vendor=digikey +} +C 48800 45500 1 90 0 capacitor-1.sym +{ +T 48100 45700 5 10 0 0 90 0 1 +device=CAPACITOR +T 49000 46300 5 10 1 1 180 0 1 +refdes=C3 +T 47900 45700 5 10 0 0 90 0 1 +symversion=0.1 +T 48700 45600 5 10 1 1 0 0 1 +value=47pF +T 48800 45500 5 10 0 0 0 0 1 +vendor_part_number=399-1019-1-ND +T 48800 45500 5 10 0 0 0 0 1 +footprint=0402 +T 48800 45500 5 10 0 0 0 0 1 +vendor=digikey +} +C 46300 46800 1 0 0 resistor-1.sym +{ +T 46600 47200 5 10 0 0 0 0 1 +device=RESISTOR +T 46300 47100 5 10 1 1 0 0 1 +refdes=R7 +T 47000 47100 5 10 1 1 0 0 1 +value=33 +T 46300 46800 5 10 0 0 0 0 1 +footprint=0402 +T 46300 46800 5 10 0 0 0 0 1 +vendor_part_number=RHM33.0LCT-ND +T 46300 46800 5 10 0 0 0 0 1 +vendor=digikey +} +C 46300 46400 1 0 0 resistor-1.sym +{ +T 46600 46800 5 10 0 0 0 0 1 +device=RESISTOR +T 46200 46200 5 10 1 1 0 0 1 +refdes=R8 +T 46900 46200 5 10 1 1 0 0 1 +value=33 +T 46300 46400 5 10 0 0 0 0 1 +footprint=0402 +T 46300 46400 5 10 0 0 0 0 1 +vendor_part_number=RHM33.0LCT-ND +T 46300 46400 5 10 0 0 0 0 1 +vendor=digikey +} +N 48600 46500 48600 46400 4 +N 47200 46500 50600 46500 4 +{ +T 49300 46600 5 10 1 1 0 0 1 +netname=padm +} +N 46300 46500 45700 46500 4 +N 45700 59200 47200 59200 4 +N 47200 46900 50600 46900 4 +{ +T 49300 47000 5 10 1 1 0 0 1 +netname=padp +} +N 47800 46400 47800 46900 4 +N 46100 58800 47200 58800 4 +C 48100 44900 1 0 0 gnd-1.sym +N 47800 45500 47800 45300 4 +N 47800 45300 48600 45300 4 +N 48600 45300 48600 45500 4 +N 48200 45200 48200 45300 4 +C 47400 56100 1 90 0 resistor-1.sym +{ +T 47000 56400 5 10 0 0 90 0 1 +device=RESISTOR +T 47100 56800 5 10 1 1 180 0 1 +refdes=R6 +T 46700 56300 5 10 1 1 0 0 1 +value=1.5K +T 47400 56100 5 10 0 0 0 0 1 +vendor_part_number=RMCF1/16S1.5K1%RCT-ND +T 47400 56100 5 10 0 0 0 0 1 +footprint=0402 +T 47400 56100 5 10 0 0 0 0 1 +vendor=digikey +} +C 46600 57500 1 0 0 gnd-1.sym +T 68800 41800 9 30 1 0 0 0 1 +TeleDongle +N 46100 46900 46300 46900 4 +N 46100 46900 46100 58800 4 +N 45700 46500 45700 59200 4 +N 50200 58300 55900 58300 4 +N 55100 58300 55100 60400 4 +T 13300 -8600 8 10 0 1 0 0 1 +vendor_part_number=MMA7260QT-ND +T 13300 -8600 8 10 0 1 0 0 1 +vendor_part_number=MCP9700-E/TO-ND +N 50600 48900 49300 48900 4 +{ +T 49300 49000 5 10 1 1 0 0 1 +netname=irq +} +N 56800 49200 55500 49200 4 +{ +T 56100 49300 5 10 1 1 0 0 1 +netname=drogue +} +N 50600 54500 49300 54500 4 +{ +T 49300 54600 5 10 1 1 0 0 1 +netname=debug_data +} +N 56800 48800 55500 48800 4 +{ +T 56300 48900 5 10 1 1 0 0 1 +netname=main +} +N 50600 51300 49300 51300 4 +{ +T 49300 51400 5 10 1 1 0 0 1 +netname=mo0 +} +N 49300 51700 50600 51700 4 +{ +T 49300 51800 5 10 1 1 0 0 1 +netname=mi0 +} +N 50600 52100 49300 52100 4 +{ +T 49300 52200 5 10 1 1 0 0 1 +netname=c0 +} +N 50600 54100 49300 54100 4 +{ +T 49300 54200 5 10 1 1 0 0 1 +netname=debug_clock +} +N 51900 60000 51900 60400 4 +C 63800 49700 1 0 0 gnd-1.sym +N 64100 49600 62100 49600 4 +{ +T 62100 49700 5 10 1 1 0 0 1 +netname=reset_n +} +N 64100 49200 62100 49200 4 +{ +T 62100 49300 5 10 1 1 0 0 1 +netname=debug_data +} +N 64100 48800 62100 48800 4 +{ +T 62100 48900 5 10 1 1 0 0 1 +netname=debug_clock +} +N 49300 52500 50600 52500 4 +{ +T 49300 52600 5 10 1 1 0 0 1 +netname=cs0 +} +C 47100 57000 1 0 0 3.3V-plus-1.sym +N 47300 56100 47300 55900 4 +N 47300 55900 46100 55900 4 +C 47200 53000 1 270 0 resistor-1.sym +{ +T 47600 52700 5 10 0 0 270 0 1 +device=RESISTOR +T 47500 52600 5 10 1 1 0 0 1 +refdes=R13 +T 47500 52300 5 10 1 1 0 0 1 +value=270 +T 47200 53000 5 10 0 0 0 0 1 +footprint=0402 +T 47200 53000 5 10 0 0 0 0 1 +vendor_part_number=541-270LCT-ND +T 47200 53000 5 10 0 0 0 0 1 +vendor=digikey +} +C 47200 51200 1 0 0 gnd-1.sym +N 50600 53300 47300 53300 4 +{ +T 49300 53400 5 10 1 1 0 0 1 +netname=led_red +} +N 47300 53300 47300 53000 4 +T 72400 40400 9 10 1 0 0 0 1 +0.2 +T 70000 40400 9 10 1 0 0 0 1 +1 +T 70600 40400 9 10 1 0 0 0 1 +1 +T 67700 40400 9 10 1 0 0 0 1 +teledongle.sch +T 67700 40100 9 10 1 0 0 0 1 +http://altusmetrum.com/ +C 45100 43000 1 0 0 resistor.sym +{ +T 45400 43400 5 10 0 0 0 0 1 +device=RESISTOR +T 45800 42900 5 10 1 1 180 0 1 +refdes=R17 +T 45095 43000 5 10 0 1 0 0 1 +footprint=0402 +T 45200 42600 5 10 1 1 0 0 1 +value=2.7k +T 45100 43000 5 10 0 0 270 0 1 +vendor_part_number=RHM2.70KLCT-ND +T 45100 43000 5 10 0 0 270 0 1 +vendor=digikey +} +N 45100 43100 43900 43100 4 +{ +T 43900 43200 5 10 1 1 0 0 1 +netname=reset_n +} +T 13300 -8600 8 10 0 1 0 0 1 +vendor_part_number=MMA7260QT-ND +T 13300 -8600 8 10 0 1 0 0 1 +vendor_part_number=MCP9700-E/TO-ND +N 64100 50000 63900 50000 4 +C 67200 49700 1 0 0 hole_plated.sym +{ +T 67300 51500 5 10 0 0 0 0 1 +device=HOLE_PLATED +T 66800 49900 5 10 1 1 0 0 1 +refdes=H1 +T 67200 49700 5 10 0 0 0 0 1 +footprint=hole-M2.5 +T 67200 49700 5 10 0 1 0 0 1 +loadstatus=noload +T 67200 49700 5 10 0 0 0 0 1 +vendor=none +} +T 66900 50500 9 10 1 0 0 0 1 +mounting holes +C 68300 48100 1 0 0 gnd-1.sym +N 67800 48900 68400 48900 4 +N 67800 49900 68400 49900 4 +N 68400 48400 68400 49900 4 +C 67200 48700 1 0 0 hole_plated.sym +{ +T 67300 50500 5 10 0 0 0 0 1 +device=HOLE_PLATED +T 66800 48900 5 10 1 1 0 0 1 +refdes=H2 +T 67200 48700 5 10 0 0 0 0 1 +footprint=hole-M2.5 +T 67200 48700 5 10 0 1 0 0 1 +loadstatus=noload +T 67200 48700 5 10 0 1 0 0 1 +vendor=none +} +C 54900 60400 1 0 0 3.3V-plus-1.sym +C 56200 45300 1 0 0 gnd-1.sym +C 58300 47200 1 0 0 ABM8.sym +{ +T 58500 47700 5 10 0 0 0 0 1 +device=CRYSTAL +T 58200 47800 5 10 1 1 0 0 1 +refdes=X1 +T 58500 47900 5 10 0 0 0 0 1 +symversion=0.1 +T 58600 47800 5 10 1 1 0 0 1 +value=48mhz +T 58300 47200 5 10 0 0 0 0 1 +vendor_part_number=535-9149-1-ND +T 58300 47200 5 10 0 0 0 0 1 +footprint=ABM8 +T 58300 47200 5 10 0 0 0 0 1 +vendor=digikey +} +C 58900 46900 1 0 0 gnd-1.sym +C 58200 46900 1 0 0 gnd-1.sym +N 50600 45700 49800 45700 4 +N 49800 43100 49800 45700 4 +C 65000 46800 1 0 0 gnd-1.sym +N 65400 45500 63700 45500 4 +{ +T 63700 45600 5 10 1 1 0 0 1 +netname=irq +} +N 63700 45100 65400 45100 4 +{ +T 63700 45200 5 10 1 1 0 0 1 +netname=cs1 +} +N 65400 46700 63700 46700 4 +{ +T 63700 46800 5 10 1 1 0 0 1 +netname=c0 +} +N 63700 46300 65400 46300 4 +{ +T 63700 46400 5 10 1 1 0 0 1 +netname=mo0 +} +N 65400 45900 63700 45900 4 +{ +T 63700 46000 5 10 1 1 0 0 1 +netname=mi0 +} +N 65400 44300 63700 44300 4 +{ +T 63700 44400 5 10 1 1 0 0 1 +netname=v_lipo +} +N 65100 47100 65400 47100 4 +C 64100 48700 1 0 0 conn-4.sym +{ +T 64400 50300 5 10 1 1 0 0 1 +refdes=J6 +T 64100 48700 5 10 0 0 0 0 1 +footprint=0-215079-4 +T 64100 48700 5 10 0 0 0 0 1 +vendor_part_number=A99472CT-ND +T 64300 48400 5 10 1 1 0 0 1 +value=Debug +T 64100 48700 5 10 0 0 0 0 1 +loadstatus=throughhole +T 64100 48700 5 10 0 0 0 0 1 +vendor=digikey +T 64100 48700 5 10 0 1 0 0 1 +device=CONNECTOR +} +N 54000 60400 55100 60400 4 +C 47000 43300 1 90 0 resistor.sym +{ +T 46600 43600 5 10 0 0 90 0 1 +device=RESISTOR +T 46700 44000 5 10 1 1 180 0 1 +refdes=R23 +T 47000 43295 5 10 0 1 90 0 1 +footprint=0402 +T 46300 43500 5 10 1 1 0 0 1 +value=33k +T 47000 43300 5 10 0 0 0 0 1 +vendor=digikey +T 47000 43300 5 10 0 0 0 0 1 +vendor_part_number=311-33KJRCT-ND +} +C 65400 44200 1 0 0 conn-8.sym +{ +T 65755 47295 5 10 1 1 0 0 1 +refdes=J9 +T 64700 43800 5 10 1 1 0 0 1 +value=CompanionInterface +T 65400 44200 5 10 0 0 0 0 1 +footprint=0-338068-8 +T 65400 44200 5 10 0 0 0 0 1 +vendor_part_number=A99436CT-ND +T 65400 44200 5 10 0 0 0 0 1 +loadstatus=throughhole +T 65400 44200 5 10 0 0 0 0 1 +vendor=digikey +T 65400 44200 5 10 0 1 0 0 1 +device=CONNECTOR +} +C 62800 44900 1 0 0 3.3V-plus-1.sym +N 65400 44700 63000 44700 4 +N 63000 44700 63000 44900 4 +C 47200 51900 1 270 0 led.sym +{ +T 47500 51850 5 10 1 1 0 0 1 +refdes=D2 +T 47800 52000 5 10 0 0 270 0 1 +device=LED +T 47200 51950 5 10 0 1 270 0 1 +footprint=0603diode +T 47200 51900 5 10 0 0 0 0 1 +vendor_part_number=160-1433-1-ND +T 47500 51400 5 10 1 1 0 0 1 +value=red +T 47200 51900 5 10 0 0 0 0 1 +vendor=digikey +} +N 47300 51900 47300 52100 4 +T 66400 54300 9 10 1 0 0 0 2 + SMA is optional +default is wire whip +C 46700 44200 1 0 0 3.3V-plus-1.sym +C 47100 41800 1 90 0 capacitor-1.sym +{ +T 46400 42000 5 10 0 0 90 0 1 +device=CAPACITOR +T 46600 42500 5 10 1 1 180 0 1 +refdes=C36 +T 46200 42000 5 10 0 0 90 0 1 +symversion=0.1 +T 46300 42000 5 10 1 1 0 0 1 +value=1uF +T 47100 41800 5 10 0 0 0 0 1 +footprint=0402 +T 47100 41800 5 10 0 0 0 0 1 +vendor_part_number=490-1320-1-ND +T 47100 41800 5 10 0 0 0 0 1 +vendor=digikey +} +C 46800 41200 1 0 0 gnd-1.sym +N 46900 41500 46900 41800 4 +N 46900 43100 49800 43100 4 +{ +T 49800 45800 5 10 1 1 0 0 1 +netname=rstn +} +N 46900 42700 46900 43300 4 +C 54400 59400 1 90 0 capacitor-1.sym +{ +T 53700 59600 5 10 0 0 90 0 1 +device=CAPACITOR +T 54700 60200 5 10 1 1 180 0 1 +refdes=C37 +T 53500 59600 5 10 0 0 90 0 1 +symversion=0.1 +T 54300 59500 5 10 1 1 0 0 1 +value=1uF +T 54400 59400 5 10 0 0 0 0 1 +vendor_part_number=490-1320-1-ND +T 54400 59400 5 10 0 0 0 0 1 +footprint=0402 +T 54400 59400 5 10 0 0 0 0 1 +vendor=digikey +} +N 54200 60300 54200 60400 4 +N 46000 43100 46900 43100 4 +N 51200 58900 54200 58900 4 +N 54200 58900 54200 59400 4 +C 48100 52700 1 270 0 resistor-1.sym +{ +T 48500 52400 5 10 0 0 270 0 1 +device=RESISTOR +T 48400 52300 5 10 1 1 0 0 1 +refdes=R12 +T 48400 52000 5 10 1 1 0 0 1 +value=270 +T 48100 52700 5 10 0 0 0 0 1 +footprint=0402 +T 48100 52700 5 10 0 0 0 0 1 +vendor_part_number=541-270LCT-ND +T 48100 52700 5 10 0 0 0 0 1 +vendor=digikey +} +C 48100 50900 1 0 0 gnd-1.sym +C 48100 51600 1 270 0 led.sym +{ +T 48400 51550 5 10 1 1 0 0 1 +refdes=D1 +T 48700 51700 5 10 0 0 270 0 1 +device=LED +T 48100 51650 5 10 0 1 270 0 1 +footprint=0603diode +T 48100 51600 5 10 0 0 0 0 1 +vendor_part_number=160-1433-1-ND +T 48400 51100 5 10 1 1 0 0 1 +value=yellow +T 48100 51600 5 10 0 0 0 0 1 +vendor=digikey +} +N 48200 51600 48200 51800 4 +N 50600 52900 48200 52900 4 +{ +T 49300 53000 5 10 1 1 0 0 1 +netname=led_yellow +} +N 48200 52700 48200 52900 4 -- 2.30.2