From: Bdale Garbee Date: Fri, 23 Jul 2021 05:58:12 +0000 (-0600) Subject: move to new beeper X-Git-Tag: fab-v3-jlcpcb~7 X-Git-Url: https://git.gag.com/?p=hw%2Feasymotor;a=commitdiff_plain;h=98d431f5b42bdfe7d6b5a538a83d7f8acbe17bb2 move to new beeper --- diff --git a/easymotor.sch b/easymotor.sch index de74b74..c788807 100644 --- a/easymotor.sch +++ b/easymotor.sch @@ -540,19 +540,6 @@ T 67150 54850 5 10 1 1 0 0 1 value=1.5k } N 67650 54600 67650 54000 4 -C 78000 58900 1 0 1 beeper.sym -{ -T 77700 59800 5 10 0 0 0 6 1 -device=BEEPER -T 77700 60000 5 10 0 0 0 6 1 -symversion=0.1 -T 78000 58900 5 10 0 1 0 6 1 -footprint=TDK_PS12 -T 78000 58900 5 10 0 1 0 6 1 -value=TDK_PS12 -T 77200 58900 5 10 1 1 0 6 1 -refdes=U8 -} N 75100 53600 79300 53600 4 { T 76400 53700 5 10 1 1 0 6 1 @@ -564,7 +551,6 @@ N 75100 59600 78700 59600 4 T 76400 59650 5 10 1 1 0 6 1 netname=reset_n } -C 77900 58600 1 0 0 gnd.sym C 66400 54700 1 0 0 nc-right.sym { T 66500 55200 5 10 0 0 0 0 1 @@ -627,7 +613,6 @@ N 67650 55500 68800 55500 4 T 67850 55550 5 10 1 1 0 0 1 netname=usb_pullup } -N 78000 59200 78000 58900 4 C 80900 57500 1 0 0 conn-2.sym { T 80900 57500 5 10 0 1 0 0 1 @@ -981,3 +966,20 @@ are ridiculous, of course, but prevent adding 2 more unique parts T 62800 43200 9 10 1 0 0 0 1 needs 5V switcher here! +C 78200 58900 1 0 1 gnd.sym +N 78000 59200 78100 59200 4 +C 78000 58900 1 0 1 beeper-2khz.sym +{ +T 77700 59800 5 10 0 0 0 6 1 +device=BEEPER +T 77700 60000 5 10 0 0 0 6 1 +symversion=0.1 +T 78005 58900 5 10 0 1 0 6 1 +footprint=AT1220 +T 78000 58900 5 10 0 1 0 0 1 +loadstatus=noload +T 77300 58700 5 10 1 1 0 6 1 +refdes=U8 +T 77500 58700 5 10 1 1 0 0 1 +value=AT1220 +}