tcl/target/stm32(f7/h7)x: do not assume presence of the reset
[fw/openocd] / tcl / board / stm32f769i-disco.cfg
index cc4334bf4df8575efaac094b3f2a7fa96b08c79f..75dffd8db78105847321c1c89f2e3ae694118e3b 100644 (file)
@@ -14,6 +14,8 @@ set QUADSPI 1
 
 source [find target/stm32f7x.cfg]
 
+reset_config srst_only
+
 # QUADSPI initialization
 proc qspi_init { } {
        global a