update changelogs for Debian build
[fw/altos] / src / ao_flash.c
1 /*
2  * Copyright © 2009 Keith Packard <keithp@keithp.com>
3  *
4  * This program is free software; you can redistribute it and/or modify
5  * it under the terms of the GNU General Public License as published by
6  * the Free Software Foundation; version 2 of the License.
7  *
8  * This program is distributed in the hope that it will be useful, but
9  * WITHOUT ANY WARRANTY; without even the implied warranty of
10  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
11  * General Public License for more details.
12  *
13  * You should have received a copy of the GNU General Public License along
14  * with this program; if not, write to the Free Software Foundation, Inc.,
15  * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA.
16  */
17
18 #include "ao.h"
19 #include "at45db161d.h"
20
21 /*
22  * Using SPI on USART 0, with P1_1 as the chip select
23  */
24
25 #define FLASH_CS                P1_1
26 #define FLASH_CS_INDEX          1
27
28 __xdata uint8_t ao_flash_dma_in_done;
29 __xdata uint8_t ao_flash_dma_out_done;
30 __xdata uint8_t ao_flash_mutex;
31
32 uint8_t ao_flash_dma_out_id;
33 uint8_t ao_flash_dma_in_id;
34
35 static __xdata uint8_t  ao_flash_const = 0xff;
36
37 #define ao_flash_delay() do { \
38         _asm nop _endasm; \
39         _asm nop _endasm; \
40         _asm nop _endasm; \
41 } while(0)
42
43 void ao_flash_cs_low(void)
44 {
45         ao_flash_delay();
46         FLASH_CS = 0;
47         ao_flash_delay();
48 }
49
50 void ao_flash_cs_high(void)
51 {
52         ao_flash_delay();
53         FLASH_CS = 1;
54         ao_flash_delay();
55 }
56
57 /* Send bytes over SPI.
58  *
59  * This sets up two DMA engines, one writing the data and another reading
60  * bytes coming back.  We use the bytes coming back to tell when the transfer
61  * is complete, as the transmit register is double buffered and hence signals
62  * completion one byte before the transfer is actually complete
63  */
64 static void
65 ao_flash_send(void __xdata *block, uint16_t len)
66 {
67         ao_dma_set_transfer(ao_flash_dma_in_id,
68                             &U0DBUFXADDR,
69                             &ao_flash_const,
70                             len,
71                             DMA_CFG0_WORDSIZE_8 |
72                             DMA_CFG0_TMODE_SINGLE |
73                             DMA_CFG0_TRIGGER_URX0,
74                             DMA_CFG1_SRCINC_0 |
75                             DMA_CFG1_DESTINC_0 |
76                             DMA_CFG1_PRIORITY_NORMAL);
77
78         ao_dma_set_transfer(ao_flash_dma_out_id,
79                             block,
80                             &U0DBUFXADDR,
81                             len,
82                             DMA_CFG0_WORDSIZE_8 |
83                             DMA_CFG0_TMODE_SINGLE |
84                             DMA_CFG0_TRIGGER_UTX0,
85                             DMA_CFG1_SRCINC_1 |
86                             DMA_CFG1_DESTINC_0 |
87                             DMA_CFG1_PRIORITY_NORMAL);
88
89         ao_dma_start(ao_flash_dma_in_id);
90         ao_dma_start(ao_flash_dma_out_id);
91         ao_dma_trigger(ao_flash_dma_out_id);
92         __critical while (!ao_flash_dma_in_done)
93                 ao_sleep(&ao_flash_dma_in_done);
94 }
95
96 /* Receive bytes over SPI.
97  *
98  * This sets up tow DMA engines, one reading the data and another
99  * writing constant values to the SPI transmitter as that is what
100  * clocks the data coming in.
101  */
102 static void
103 ao_flash_recv(void __xdata *block, uint16_t len)
104 {
105         ao_dma_set_transfer(ao_flash_dma_in_id,
106                             &U0DBUFXADDR,
107                             block,
108                             len,
109                             DMA_CFG0_WORDSIZE_8 |
110                             DMA_CFG0_TMODE_SINGLE |
111                             DMA_CFG0_TRIGGER_URX0,
112                             DMA_CFG1_SRCINC_0 |
113                             DMA_CFG1_DESTINC_1 |
114                             DMA_CFG1_PRIORITY_NORMAL);
115
116         ao_dma_set_transfer(ao_flash_dma_out_id,
117                             &ao_flash_const,
118                             &U0DBUFXADDR,
119                             len,
120                             DMA_CFG0_WORDSIZE_8 |
121                             DMA_CFG0_TMODE_SINGLE |
122                             DMA_CFG0_TRIGGER_UTX0,
123                             DMA_CFG1_SRCINC_0 |
124                             DMA_CFG1_DESTINC_0 |
125                             DMA_CFG1_PRIORITY_NORMAL);
126
127         ao_dma_start(ao_flash_dma_in_id);
128         ao_dma_start(ao_flash_dma_out_id);
129         ao_dma_trigger(ao_flash_dma_out_id);
130         __critical while (!ao_flash_dma_in_done)
131                 ao_sleep(&ao_flash_dma_in_done);
132 }
133
134 struct ao_flash_instruction {
135         uint8_t instruction;
136         uint8_t address[3];
137 } __xdata ao_flash_instruction;
138
139 static void
140 ao_flash_set_pagesize_512(void)
141 {
142         ao_flash_cs_low();
143         ao_flash_instruction.instruction = FLASH_SET_CONFIG;
144         ao_flash_instruction.address[0] = FLASH_SET_512_BYTE_0;
145         ao_flash_instruction.address[1] = FLASH_SET_512_BYTE_1;
146         ao_flash_instruction.address[2] = FLASH_SET_512_BYTE_2;
147         ao_flash_send(&ao_flash_instruction, 4);
148         ao_flash_cs_high();
149 }
150
151
152 static uint8_t
153 ao_flash_read_status(void)
154 {
155         ao_flash_cs_low();
156         ao_flash_instruction.instruction = FLASH_READ_STATUS;
157         ao_flash_send(&ao_flash_instruction, 1);
158         ao_flash_recv(&ao_flash_instruction, 1);
159         ao_flash_cs_high();
160         return ao_flash_instruction.instruction;
161 }
162
163 #define FLASH_BLOCK_NONE        0xffff
164
165 static __xdata uint8_t ao_flash_data[FLASH_BLOCK_SIZE_MAX];
166 static __pdata uint16_t ao_flash_block = FLASH_BLOCK_NONE;
167 static __pdata uint8_t  ao_flash_block_dirty;
168 static __pdata uint8_t  ao_flash_write_pending;
169 static __pdata uint8_t  ao_flash_setup_done;
170 static __data uint32_t  ao_flash_device_size;
171 static __data uint8_t   ao_flash_block_shift;
172 static __data uint16_t  ao_flash_block_size;
173
174 static void
175 ao_flash_setup(void)
176 {
177         uint8_t status;
178
179         if (ao_flash_setup_done)
180                 return;
181
182         ao_mutex_get(&ao_flash_mutex);
183         if (ao_flash_setup_done) {
184                 ao_mutex_put(&ao_flash_mutex);
185                 return;
186         }
187
188         /* On first use, check to see if the flash chip has
189          * been programmed to use 512 byte pages. If not, do so.
190          * And then, because the flash part must be power cycled
191          * for that change to take effect, panic.
192          */
193         status = ao_flash_read_status();
194
195         if (!(status & FLASH_STATUS_PAGESIZE_512)) {
196                 ao_flash_set_pagesize_512();
197                 ao_panic(AO_PANIC_FLASH);
198         }
199
200         switch (status & 0x3c) {
201
202         /* AT45DB321D */
203         case 0x34:
204                 ao_flash_block_shift = 9;
205                 ao_flash_device_size = ((uint32_t) 4 * (uint32_t) 1024 * (uint32_t) 1024);
206                 break;
207
208         /* AT45DB161D */
209         case 0x2c:
210                 ao_flash_block_shift = 9;
211                 ao_flash_device_size = ((uint32_t) 2 * (uint32_t) 1024 * (uint32_t) 1024);
212                 break;
213
214         /* AT45DB081D */
215         case 0x24:
216                 ao_flash_block_shift = 8;
217                 ao_flash_device_size = ((uint32_t) 1024 * (uint32_t) 1024);
218                 break;
219
220         /* AT45DB041D */
221         case 0x1c:
222                 ao_flash_block_shift = 8;
223                 ao_flash_device_size = ((uint32_t) 512 * (uint32_t) 1024);
224                 break;
225
226         /* AT45DB021D */
227         case 0x14:
228                 ao_flash_block_shift = 8;
229                 ao_flash_device_size = ((uint32_t) 256 * (uint32_t) 1024);
230                 break;
231
232         /* AT45DB011D */
233         case 0x0c:
234                 ao_flash_block_shift = 8;
235                 ao_flash_device_size = ((uint32_t) 128 * (uint32_t) 1024);
236                 break;
237
238         default:
239                 ao_panic(AO_PANIC_FLASH);
240         }
241         ao_flash_block_size = 1 << ao_flash_block_shift;
242         ao_flash_setup_done = 1;
243         ao_mutex_put(&ao_flash_mutex);
244 }
245
246 static void
247 ao_flash_wait_write(void)
248 {
249         if (ao_flash_write_pending) {
250                 for (;;) {
251                         uint8_t status = ao_flash_read_status();
252                         if ((status & FLASH_STATUS_RDY))
253                                 break;
254                 }
255                 ao_flash_write_pending = 0;
256         }
257 }
258
259 /* Write the current block to the FLASHPROM */
260 static void
261 ao_flash_write_block(void)
262 {
263         ao_flash_wait_write();
264         ao_flash_cs_low();
265         ao_flash_instruction.instruction = FLASH_WRITE;
266
267         /* 13/14 block bits + 9/8 byte bits (always 0) */
268         ao_flash_instruction.address[0] = ao_flash_block >> (16 - ao_flash_block_shift);
269         ao_flash_instruction.address[1] = ao_flash_block << (ao_flash_block_shift - 8);
270         ao_flash_instruction.address[2] = 0;
271         ao_flash_send(&ao_flash_instruction, 4);
272         ao_flash_send(ao_flash_data, FLASH_BLOCK_SIZE);
273         ao_flash_cs_high();
274         ao_flash_write_pending = 1;
275 }
276
277 /* Read the current block from the FLASHPROM */
278 static void
279 ao_flash_read_block(void)
280 {
281         ao_flash_wait_write();
282         ao_flash_cs_low();
283         ao_flash_instruction.instruction = FLASH_READ;
284
285         /* 13/14 block bits + 9/8 byte bits (always 0) */
286         ao_flash_instruction.address[0] = ao_flash_block >> (16 - ao_flash_block_shift);
287         ao_flash_instruction.address[1] = ao_flash_block << (ao_flash_block_shift - 8);
288         ao_flash_instruction.address[2] = 0;
289         ao_flash_send(&ao_flash_instruction, 4);
290         ao_flash_recv(ao_flash_data, FLASH_BLOCK_SIZE);
291         ao_flash_cs_high();
292 }
293
294 static void
295 ao_flash_flush_internal(void)
296 {
297         if (ao_flash_block_dirty) {
298                 ao_flash_write_block();
299                 ao_flash_block_dirty = 0;
300         }
301 }
302
303 static void
304 ao_flash_fill(uint16_t block)
305 {
306         if (block != ao_flash_block) {
307                 ao_flash_flush_internal();
308                 ao_flash_block = block;
309                 ao_flash_read_block();
310         }
311 }
312
313 uint8_t
314 ao_ee_write(uint32_t pos, uint8_t *buf, uint16_t len) __reentrant
315 {
316         uint16_t block;
317         uint16_t this_len;
318         uint16_t        this_off;
319
320         ao_flash_setup();
321         if (pos >= FLASH_DATA_SIZE || pos + len > FLASH_DATA_SIZE)
322                 return 0;
323         while (len) {
324
325                 /* Compute portion of transfer within
326                  * a single block
327                  */
328                 this_off = (uint16_t) pos & FLASH_BLOCK_MASK;
329                 this_len = FLASH_BLOCK_SIZE - this_off;
330                 block = (uint16_t) (pos >> FLASH_BLOCK_SHIFT);
331                 if (this_len > len)
332                         this_len = len;
333
334                 /* Transfer the data */
335                 ao_mutex_get(&ao_flash_mutex); {
336                         if (this_len != FLASH_BLOCK_SIZE)
337                                 ao_flash_fill(block);
338                         else {
339                                 ao_flash_flush_internal();
340                                 ao_flash_block = block;
341                         }
342                         memcpy(ao_flash_data + this_off, buf, this_len);
343                         ao_flash_block_dirty = 1;
344                 } ao_mutex_put(&ao_flash_mutex);
345
346                 /* See how much is left */
347                 buf += this_len;
348                 len -= this_len;
349                 pos += this_len;
350         }
351         return 1;
352 }
353
354 uint8_t
355 ao_ee_read(uint32_t pos, uint8_t *buf, uint16_t len) __reentrant
356 {
357         uint16_t block;
358         uint16_t this_len;
359         uint16_t this_off;
360
361         ao_flash_setup();
362         if (pos >= FLASH_DATA_SIZE || pos + len > FLASH_DATA_SIZE)
363                 return 0;
364         while (len) {
365
366
367                 /* Compute portion of transfer within
368                  * a single block
369                  */
370                 this_off = (uint16_t) pos & FLASH_BLOCK_MASK;
371                 this_len = FLASH_BLOCK_SIZE - this_off;
372                 block = (uint16_t) (pos >> FLASH_BLOCK_SHIFT);
373                 if (this_len > len)
374                         this_len = len;
375
376                 /* Transfer the data */
377                 ao_mutex_get(&ao_flash_mutex); {
378                         ao_flash_fill(block);
379                         memcpy(buf, ao_flash_data + this_off, this_len);
380                 } ao_mutex_put(&ao_flash_mutex);
381
382                 /* See how much is left */
383                 buf += this_len;
384                 len -= this_len;
385                 pos += this_len;
386         }
387         return 1;
388 }
389
390 void
391 ao_ee_flush(void) __reentrant
392 {
393         ao_mutex_get(&ao_flash_mutex); {
394                 ao_flash_flush_internal();
395         } ao_mutex_put(&ao_flash_mutex);
396 }
397
398 /*
399  * Read/write the config block, which is in
400  * the last block of the flash
401  */
402
403 uint8_t
404 ao_ee_write_config(uint8_t *buf, uint16_t len) __reentrant
405 {
406         ao_flash_setup();
407         if (len > FLASH_BLOCK_SIZE)
408                 return 0;
409         ao_mutex_get(&ao_flash_mutex); {
410                 ao_flash_fill(FLASH_CONFIG_BLOCK);
411                 memcpy(ao_flash_data, buf, len);
412                 ao_flash_block_dirty = 1;
413                 ao_flash_flush_internal();
414         } ao_mutex_put(&ao_flash_mutex);
415         return 1;
416 }
417
418 uint8_t
419 ao_ee_read_config(uint8_t *buf, uint16_t len) __reentrant
420 {
421         ao_flash_setup();
422         if (len > FLASH_BLOCK_SIZE)
423                 return 0;
424         ao_mutex_get(&ao_flash_mutex); {
425                 ao_flash_fill(FLASH_CONFIG_BLOCK);
426                 memcpy(buf, ao_flash_data, len);
427         } ao_mutex_put(&ao_flash_mutex);
428         return 1;
429 }
430
431 static void
432 flash_dump(void) __reentrant
433 {
434         uint8_t b;
435         uint16_t block;
436         uint8_t i;
437
438         ao_cmd_hex();
439         block = ao_cmd_lex_i;
440         if (ao_cmd_status != ao_cmd_success)
441                 return;
442         i = 0;
443         do {
444                 if ((i & 7) == 0) {
445                         if (i)
446                                 putchar('\n');
447                         ao_cmd_put16((uint16_t) i);
448                 }
449                 putchar(' ');
450                 ao_ee_read(((uint32_t) block << 8) | i, &b, 1);
451                 ao_cmd_put8(b);
452                 ++i;
453         } while (i != 0);
454         putchar('\n');
455 }
456
457 static void
458 flash_store(void) __reentrant
459 {
460         uint16_t block;
461         uint8_t i;
462         uint16_t len;
463         uint8_t b;
464         uint32_t addr;
465
466         ao_cmd_hex();
467         block = ao_cmd_lex_i;
468         ao_cmd_hex();
469         i = ao_cmd_lex_i;
470         addr = ((uint32_t) block << 8) | i;
471         ao_cmd_hex();
472         len = ao_cmd_lex_i;
473         if (ao_cmd_status != ao_cmd_success)
474                 return;
475         while (len--) {
476                 ao_cmd_hex();
477                 if (ao_cmd_status != ao_cmd_success)
478                         return;
479                 b = ao_cmd_lex_i;
480                 ao_ee_write(addr, &b, 1);
481                 addr++;
482         }
483         ao_ee_flush();
484 }
485
486 void
487 ao_ee_dump_config(void) __reentrant
488 {
489         uint16_t        i;
490         printf("Configuration block %d\n", FLASH_CONFIG_BLOCK);
491         ao_mutex_get(&ao_flash_mutex); {
492                 ao_flash_flush_internal();
493                 ao_flash_block = FLASH_BLOCK_NONE;
494                 ao_flash_fill(FLASH_CONFIG_BLOCK);
495                 i = 0;
496                 do {
497                         if ((i & 7) == 0) {
498                                 if (i)
499                                         putchar('\n');
500                                 ao_cmd_put16((uint16_t) i);
501                         }
502                         putchar(' ');
503                         ao_cmd_put8(ao_flash_data[i]);
504                         ++i;
505                 } while (i < sizeof (ao_config));
506         } ao_mutex_put(&ao_flash_mutex);
507 }
508
509 static void
510 flash_status(void) __reentrant
511 {
512         uint8_t status;
513
514         ao_flash_setup();
515         ao_mutex_get(&ao_flash_mutex); {
516                 status = ao_flash_read_status();
517                 printf ("Flash status: 0x%02x\n", status);
518                 printf ("Flash block shift: %d\n", FLASH_BLOCK_SHIFT);
519                 printf ("Flash block size: %d\n", FLASH_BLOCK_SIZE);
520                 printf ("Flash block mask: %d\n", FLASH_BLOCK_MASK);
521                 printf ("Flash device size: %ld\n", FLASH_DEVICE_SIZE);
522                 printf ("Flash data size: %ld\n", FLASH_DATA_SIZE);
523                 printf ("Flash config block: %d\n", FLASH_CONFIG_BLOCK);
524         } ao_mutex_put(&ao_flash_mutex);
525         ao_ee_dump_config();
526 }
527
528 __code struct ao_cmds ao_flash_cmds[] = {
529         { 'e', flash_dump,      "e <block>                          Dump a block of flash data" },
530         { 'w', flash_store,     "w <block> <start> <len> <data> ... Write data to flash" },
531         { 'f', flash_status,    "f                                  Show flash status register" },
532         { 0,   flash_store, NULL },
533 };
534
535 /*
536  * To initialize the chip, set up the CS line and
537  * the SPI interface
538  */
539 void
540 ao_ee_init(void)
541 {
542         /* set up CS */
543         FLASH_CS = 1;
544         P1DIR |= (1 << FLASH_CS_INDEX);
545         P1SEL &= ~(1 << FLASH_CS_INDEX);
546
547         /* Set up the USART pin assignment */
548         PERCFG = (PERCFG & ~PERCFG_U0CFG_ALT_MASK) | PERCFG_U0CFG_ALT_2;
549
550         /* Ensure that USART0 takes precidence over USART1 for pins that
551          * they share
552          */
553         P2SEL = (P2SEL & ~P2SEL_PRI3P1_MASK) | P2SEL_PRI3P1_USART0;
554
555         /* Make the SPI pins be controlled by the USART peripheral */
556         P1SEL |= ((1 << 5) | (1 << 4) | (1 << 3));
557
558         /* Set up OUT DMA */
559         ao_flash_dma_out_id = ao_dma_alloc(&ao_flash_dma_out_done);
560
561         /* Set up IN DMA */
562         ao_flash_dma_in_id = ao_dma_alloc(&ao_flash_dma_in_done);
563
564         /* Set up the USART.
565          *
566          * SPI master mode
567          */
568         U0CSR = (UxCSR_MODE_SPI | UxCSR_RE | UxCSR_MASTER);
569
570         /* Set the baud rate and signal parameters
571          *
572          * The cc1111 is limited to a 24/8 MHz SPI clock,
573          * while the at45db161d.h is limited to 20MHz. So,
574          * use the 3MHz clock (BAUD_E 17, BAUD_M 0)
575          */
576         U0BAUD = 0;
577         U0GCR = (UxGCR_CPOL_NEGATIVE |
578                  UxGCR_CPHA_FIRST_EDGE |
579                  UxGCR_ORDER_MSB |
580                  (17 << UxGCR_BAUD_E_SHIFT));
581         ao_cmd_register(&ao_flash_cmds[0]);
582 }