From a64acfe8cef1fa73da333d0860b3da0c97a5eb45 Mon Sep 17 00:00:00 2001 From: jcorgan Date: Thu, 20 Sep 2007 03:34:02 +0000 Subject: [PATCH] Merged r6475:6484 from jcorgan/radar into trunk. git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@6485 221aa14e-8319-0410-a670-987f0aec2ac5 --- gr-radar-mono/src/fpga/lib/Makefile.am | 2 +- .../src/fpga/lib/{fifo32_4k.v => fifo32_2k.v} | 30 +++++++++--------- gr-radar-mono/src/fpga/lib/radar.v | 8 ++--- gr-radar-mono/src/fpga/lib/radar_config.vh | 1 + gr-radar-mono/src/fpga/lib/radar_control.v | 18 ++++++++--- gr-radar-mono/src/fpga/lib/radar_rx.v | 4 +-- gr-radar-mono/src/fpga/tb/radar_tb.sav | 13 ++------ gr-radar-mono/src/fpga/tb/radar_tb.v | 11 ++++++- .../src/fpga/top/usrp_radar_mono.qsf | 2 +- .../src/fpga/top/usrp_radar_mono.rbf | Bin 123637 -> 123940 bytes gr-radar-mono/src/fpga/top/usrp_radar_mono.v | 29 ++++++++++++++--- gr-radar-mono/src/python/radar_mono.py | 28 ++++++++++------ gr-radar-mono/src/python/usrp_radar_mono.py | 19 ++++++----- 13 files changed, 103 insertions(+), 62 deletions(-) rename gr-radar-mono/src/fpga/lib/{fifo32_4k.v => fifo32_2k.v} (85%) mode change 100644 => 100755 diff --git a/gr-radar-mono/src/fpga/lib/Makefile.am b/gr-radar-mono/src/fpga/lib/Makefile.am index 2a7d6d88..2c520538 100644 --- a/gr-radar-mono/src/fpga/lib/Makefile.am +++ b/gr-radar-mono/src/fpga/lib/Makefile.am @@ -28,7 +28,7 @@ EXTRA_DIST = \ radar_tx.v \ radar_rx.v \ dac_interface.v \ - fifo32_4k.v \ + fifo32_2k.v \ cordic_nco.v MOSTLYCLEANFILES = *~ *.bak diff --git a/gr-radar-mono/src/fpga/lib/fifo32_4k.v b/gr-radar-mono/src/fpga/lib/fifo32_2k.v old mode 100644 new mode 100755 similarity index 85% rename from gr-radar-mono/src/fpga/lib/fifo32_4k.v rename to gr-radar-mono/src/fpga/lib/fifo32_2k.v index f31d020f..c045b70e --- a/gr-radar-mono/src/fpga/lib/fifo32_4k.v +++ b/gr-radar-mono/src/fpga/lib/fifo32_2k.v @@ -4,7 +4,7 @@ // MODULE: scfifo // ============================================================ -// File Name: fifo32_4k.v +// File Name: fifo32_2k.v // Megafunction Name(s): // scfifo // @@ -36,7 +36,7 @@ // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on -module fifo32_4k ( +module fifo32_2k ( clock, data, rdreq, @@ -78,11 +78,11 @@ module fifo32_4k ( defparam scfifo_component.add_ram_output_register = "OFF", scfifo_component.intended_device_family = "Cyclone", - scfifo_component.lpm_numwords = 4096, + scfifo_component.lpm_numwords = 2048, scfifo_component.lpm_showahead = "OFF", scfifo_component.lpm_type = "scfifo", scfifo_component.lpm_width = 32, - scfifo_component.lpm_widthu = 12, + scfifo_component.lpm_widthu = 11, scfifo_component.overflow_checking = "OFF", scfifo_component.underflow_checking = "OFF", scfifo_component.use_eab = "ON"; @@ -99,7 +99,7 @@ endmodule // Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" // Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" // Retrieval info: PRIVATE: Clock NUMERIC "0" -// Retrieval info: PRIVATE: Depth NUMERIC "4096" +// Retrieval info: PRIVATE: Depth NUMERIC "2048" // Retrieval info: PRIVATE: Empty NUMERIC "1" // Retrieval info: PRIVATE: Full NUMERIC "0" // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone" @@ -127,11 +127,11 @@ endmodule // Retrieval info: PRIVATE: wsUsedW NUMERIC "0" // Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" -// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096" +// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "2048" // Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" // Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32" -// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12" +// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "11" // Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF" // Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF" // Retrieval info: CONSTANT: USE_EAB STRING "ON" @@ -150,12 +150,12 @@ endmodule // Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0 // Retrieval info: CONNECT: @sclr 0 0 0 0 sclr 0 0 0 0 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_bb.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_waveforms.html TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_wave*.jpg TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k_bb.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k_waveforms.html FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_2k_wave*.jpg FALSE // Retrieval info: LIB_FILE: altera_mf diff --git a/gr-radar-mono/src/fpga/lib/radar.v b/gr-radar-mono/src/fpga/lib/radar.v index d71d9397..1023d2f2 100644 --- a/gr-radar-mono/src/fpga/lib/radar.v +++ b/gr-radar-mono/src/fpga/lib/radar.v @@ -24,7 +24,7 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, tx_side_o,tx_strobe_o,tx_dac_i_o,tx_dac_q_o, rx_adc_i_i,rx_adc_q_i, - rx_strobe_o,rx_ech_i_o,rx_ech_q_o,auto_tr_o); + rx_strobe_o,rx_ech_i_o,rx_ech_q_o,io_tx_ena_o); // System interface input clk_i; // Master clock @ 64 MHz @@ -37,7 +37,7 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, output tx_strobe_o; // Generate an transmitter output sample output [13:0] tx_dac_i_o; // I channel transmitter output to DAC output [13:0] tx_dac_q_o; // Q channel transmitter output to DAC - output auto_tr_o; // Transmit/Receive switching + output io_tx_ena_o; // Transmit/Receive switching // Receive subsystem input [15:0] rx_adc_i_i; // I channel input from ADC @@ -65,8 +65,8 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, (.clk_i(clk_i),.saddr_i(saddr_i),.sdata_i(sdata_i),.s_strobe_i(s_strobe_i), .reset_o(reset),.tx_side_o(tx_side_o),.dbg_o(debug_enabled), .tx_strobe_o(tx_strobe_o),.tx_ctrl_o(tx_ctrl),.rx_ctrl_o(rx_ctrl), - .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr),.pulse_num_o(pulse_num)); - assign auto_tr_o = tx_ctrl; + .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr),.pulse_num_o(pulse_num), + .io_tx_ena_o(io_tx_ena_o)); radar_tx transmitter ( .clk_i(clk_i),.rst_i(reset),.ena_i(tx_ctrl),.strobe_i(tx_strobe_o), diff --git a/gr-radar-mono/src/fpga/lib/radar_config.vh b/gr-radar-mono/src/fpga/lib/radar_config.vh index 251b2dba..89a33673 100644 --- a/gr-radar-mono/src/fpga/lib/radar_config.vh +++ b/gr-radar-mono/src/fpga/lib/radar_config.vh @@ -38,3 +38,4 @@ `define FR_RADAR_AMPL `FR_USER_5 `define FR_RADAR_FSTART `FR_USER_6 `define FR_RADAR_FINCR `FR_USER_7 +`define FR_RADAR_ATRDEL `FR_USER_8 diff --git a/gr-radar-mono/src/fpga/lib/radar_control.v b/gr-radar-mono/src/fpga/lib/radar_control.v index 86494110..05b78198 100644 --- a/gr-radar-mono/src/fpga/lib/radar_control.v +++ b/gr-radar-mono/src/fpga/lib/radar_control.v @@ -23,8 +23,8 @@ module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,reset_o, tx_side_o,dbg_o,tx_strobe_o,tx_ctrl_o,rx_ctrl_o, - ampl_o,fstart_o,fincr_o,pulse_num_o); - + ampl_o,fstart_o,fincr_o,pulse_num_o,io_tx_ena_o); + // System interface input clk_i; // Master clock @ 64 MHz input [6:0] saddr_i; // Configuration bus address @@ -42,7 +42,8 @@ module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,reset_o, output [31:0] fstart_o; output [31:0] fincr_o; output [15:0] pulse_num_o; - + output io_tx_ena_o; + // Internal configuration wire lp_ena; wire md_ena; @@ -52,7 +53,8 @@ module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,reset_o, wire [15:0] t_sw; wire [15:0] t_look; wire [31:0] t_idle; - + wire [31:0] atrdel; + // Configuration from host wire [31:0] mode; setting_reg #(`FR_RADAR_MODE) sr_mode(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i), @@ -86,6 +88,9 @@ module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,reset_o, setting_reg #(`FR_RADAR_FINCR) sr_fincr(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i), .out(fincr_o)); + setting_reg #(`FR_RADAR_ATRDEL) sr_atrdel(.clock(clk_i),.reset(1'b0),.strobe(s_strobe_i),.addr(saddr_i),.in(sdata_i), + .out(atrdel)); + // Pulse state machine `define ST_ON 4'b0001 `define ST_SW 4'b0010 @@ -152,5 +157,10 @@ module radar_control(clk_i,saddr_i,sdata_i,s_strobe_i,reset_o, assign tx_strobe_o = count[0]; // Drive DAC inputs at 32 MHz assign tx_ctrl_o = (state == `ST_ON); assign rx_ctrl_o = (state == `ST_LOOK); + + // Create delayed version of tx_ctrl_o to drive mixers and TX/RX switch + atr_delay atr_delay(.clk_i(clk_i),.rst_i(reset_o),.ena_i(1'b1),.tx_empty_i(!tx_ctrl_o), + .tx_delay_i(atrdel[27:16]),.rx_delay_i(atrdel[11:0]), + .atr_tx_o(io_tx_ena_o)); endmodule // radar_control diff --git a/gr-radar-mono/src/fpga/lib/radar_rx.v b/gr-radar-mono/src/fpga/lib/radar_rx.v index 29bbadd4..4b0b83c4 100644 --- a/gr-radar-mono/src/fpga/lib/radar_rx.v +++ b/gr-radar-mono/src/fpga/lib/radar_rx.v @@ -55,12 +55,12 @@ module radar_rx(clk_i,rst_i,ena_i,dbg_i,pulse_num_i,rx_in_i_i, // Use model if simulating, otherwise Altera Megacell `ifdef SIMULATION - fifo_1clk #(32, 4096) buffer(.clock(clk_i),.sclr(rst_i), + fifo_1clk #(32, 2048) buffer(.clock(clk_i),.sclr(rst_i), .data(fifo_inp),.wrreq(ena_i), .rdreq(fifo_ack),.q(fifo_out), .empty(fifo_empty)); `else - fifo32_4k buffer(.clock(clk_i),.sclr(rst_i), + fifo32_2k buffer(.clock(clk_i),.sclr(rst_i), .data(fifo_inp),.wrreq(ena_i), .rdreq(fifo_ack),.q(fifo_out), .empty(fifo_empty)); diff --git a/gr-radar-mono/src/fpga/tb/radar_tb.sav b/gr-radar-mono/src/fpga/tb/radar_tb.sav index 69e48d21..66289c07 100644 --- a/gr-radar-mono/src/fpga/tb/radar_tb.sav +++ b/gr-radar-mono/src/fpga/tb/radar_tb.sav @@ -1,4 +1,4 @@ -*-24.712317 7100000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +*-24.712532 40200000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 @28 radar_tb.clk radar_tb.ena @@ -18,13 +18,14 @@ radar_tb.uut.transmitter.freq[31:0] radar_tb.tx_strobe @8420 radar_tb.uut.tx_dac_i_o[13:0] +@8421 radar_tb.uut.tx_dac_q_o[13:0] @200 - @28 +radar_tb.uut.io_tx_ena_o radar_tb.uut.controller.tx_ctrl_o radar_tb.uut.controller.rx_ctrl_o -radar_tb.uut.auto_tr_o @200 - @28 @@ -37,13 +38,5 @@ radar_tb.fifo_q[15:0] - @22 radar_tb.uut.pulse_num[15:0] -radar_tb.uut.receiver.count[15:0] -@28 -radar_tb.uut.receiver.fifo_empty -@22 -radar_tb.uut.receiver.fifo_inp[31:0] -radar_tb.uut.receiver.fifo_out[31:0] -@28 -radar_tb.uut.receiver.state[2:0] @200 - diff --git a/gr-radar-mono/src/fpga/tb/radar_tb.v b/gr-radar-mono/src/fpga/tb/radar_tb.v index cb26abf5..3583b70e 100644 --- a/gr-radar-mono/src/fpga/tb/radar_tb.v +++ b/gr-radar-mono/src/fpga/tb/radar_tb.v @@ -178,6 +178,15 @@ module radar_tb; end endtask // set_fincr + // Chirp frequency increment + task set_atrdel; + input [31:0] atrdel; + + begin + write_cfg_register(`FR_RADAR_ATRDEL, atrdel); + end + endtask // set_fincr + // Test transmitter functionality task test_tx; begin @@ -191,7 +200,7 @@ module radar_tb; #20 set_ampl(16'd9946); #20 set_fstart(32'h80000000); // -16 to 16 MHz #20 set_fincr (32'h0199999A); - + #20 set_atrdel(32'h00400046); // 64 TX clks, 70 RX clks #20 set_reset(0); #200000; end diff --git a/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf b/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf index d1db2e9c..9b13989c 100644 --- a/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf +++ b/gr-radar-mono/src/fpga/top/usrp_radar_mono.qsf @@ -380,7 +380,7 @@ set_global_assignment -name VERILOG_FILE usrp_radar_mono.v set_global_assignment -name VERILOG_FILE dacpll.v set_global_assignment -name VERILOG_FILE ../lib/cordic_nco.v set_global_assignment -name VERILOG_FILE ../lib/dac_interface.v -set_global_assignment -name VERILOG_FILE ../lib/fifo32_4k.v +set_global_assignment -name VERILOG_FILE ../lib/fifo32_2k.v set_global_assignment -name VERILOG_FILE ../lib/radar_control.v set_global_assignment -name VERILOG_FILE ../lib/radar_rx.v set_global_assignment -name VERILOG_FILE ../lib/radar_tx.v diff --git a/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf b/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf index 13c36c78a9844a4334cb62de3700961978c068d2..229da4962276c97ff22ba38d40db496f01fc3673 100644 GIT binary patch literal 123940 zcmd444PX`3nKwQ&jP`1^y>l|T8DdCgCMTC6BqX`S5D{T!?%d=CLi0gHs&+|2P_$|w zpi;N3_g)6PSiYs!?Yb*pTHlr}MQC+f+up>e*jo9Js{3x=6(Y9&TUJQ|NL{q=@61g? zfFQX4?z?;7o|!pwKA!V2&+|La^UUNge>pqc`Nm&N&aZuuJO6|`JLiS3oEw{*8*f~4 z?@jmLd((YheEhzbFhey>St_yq7hf9{!ReKS;oS3et&oI_9 z;!4_1%%0sopG5_Ov<*^pPDQi&uf2Az7kTyOpYH0)ziJh$DCALe;-;G(yq87SosFie&K~_U;p^cHy`{4i*8_-QxHwOipA3yEtlBKzd}W?_7xTF4S6Jd{QB$D zZtx=S643xfYz+)w2_sJ6f2yJn@4a{KO)RQrODa9s|4%DojlCdvO?I)7=sJ1VU3*{h zB5z>4MeeN*wz^7+&X4l1@)9qpBJW}HD%yVfSHIffMMG7dRZ-E&*4FWXY>hudTF=RQ z?)l*NEP9ENMoz`!8NN%eFi z*PXxG{_2_kMOW?l)m@)jh><^|^H)b#o!+x&EsMV875^_R=#9LDrzkJy{3zopmY8uB zH$r&z!>@mR@ApnU{P0#^#!D^KFWFs|mPI*@TSJohe5sWqW^`LCgwd5`4av#r=oFF~ zw<4hk?2a8>Atdt;8=ak-v;Ij*-ypuMjX82uv|>uDQTOF9q*^RTDJ2~0%(4wDwluU+ zt5HH0mlJ5SW0km3G;l*7xUs+rifDRa=amb!RYXjVMKLYQIkE-QWwZMLb|W+d|_eSU;-8X@WQlqthglj)0?&pReKHGSe;9eZbvdO63bBCa;r zxTU&$lH266Ofiv5aP|h=m3>Jrp2RhW6fX76MP7bZfsNmEo}ICfu6f-+gWlz_KS=3& z>H8o8H6Wy8?{qLqwT71qxL|dv19hq*s}m_Pkswu!`P{+mhn8PCa5~r?Do=+bmrhcX zjAVob%RZMrIX50EGH~PAYr_abhgs_gNz}*s98Y@G4P;I{oqrkfaGc_`7ju1^qN<3C z^fe>$D z!R8WC9AX$ARGRSa3>(YXsg>XL@?AJWV_rBNnj(WLA=aRq0%wXiMY#gM>#o8 zRf`d$S&qu?76=cn_yWgaM&&d)TucB-tS?bif|%n>7grq19uv9L>3O`LN6nH#y$oIf zR+e&64H-~EUQIYZYenY_l%d?Q=|2ZyJeR_ZGr}|+IIidl@8Dhey*Ao#4?%mi;_K;K z>Dc6BKD3xPlSXlxZk;>!o<}(5#G}!#iD;5tWjxJtji$0s710YWmOu|mZJebh%o~Nn zz>-4k4jRy6J~hfGRDqN2nB$9~3`O5?O<5Uk$4@a%>0o#V)uWZwd`>Vc|Iaxo!!Khz z8w3PKU3&tAUP?HJ0bHO68`r%@zj%SW=OkZRl_+#}oN9($ruM$zjq9+akxtR^ zW8LmZcg|0{#41tnFRxxo+sMfJ?X8ycTN|FjsN6n(c*=#(KO2=v!$MUVB9F3@FlE6lL*|g(9afzx~-D% z0lA#yR0MJYM=B>p)`YCQqKxC64c(jHJG|=pr)y6UD|p=dwbb+lETgV+ zd7nGWm|L{d>T^lPkvq$*9|o>*OTv}2Cb%Wi5gP^A$)nraC70!71zsfA&^m+VM1h^w zsgOJIU6yg}VAS%;M*(YPpBwgm9WQ=l8P!IX`_z5bf;;ovQ@T6Jo#2i;Zmhu19rx(= zyREm&zQ?$1A{=Sf*Rc{wbLl&g8HH zMh^AT+R94@4#{DaINmvUX$+K|6AE?`NV3E^spnlXw)^;XW6w)x!e)T84cs%)20ixn zyTh}l2^gM|KBt_?=S;EBzkw9(>{Q66eZ`|NRGg_}WLc1n^zqynC)_Ux=n} zOAt#V2UNLt@qkboIY&Cx#Uc6lxAL}=C7oC1y{~k%tXev6)l%I(T}W$k9?pI3xn75M zmCZ9&&)EDO$3KaxzW+aLe$29ESEz>J8$Q~@&xcR+Ez__dF0>@h;*0W_*B=pvp_+3R zxwlzi$U7#YE$i(!uIxHfFLpS?2{@}>ZeM(w96fW}*6cGEID<4WSy$LvL--)@g~Tu_ z(uwEBUUSx~p>ab!V=`r=Fwl#+zxLn{T2@8Ykb$4dBVzK#1uq_G9ajMNe_6JSQG}kN zA$CW{k7bXsB60lA1he zdM?(Mx#(Y6uLc4WwigO9{SrhNEBsex`HafCS|1b4XM%R-^z5_D&hpoXHdJTdI`@jv znT%Zu-%SN}U43rp5w5YUKH~;tSf2*g zAN;u{{&W;dB^&aJYy!QTqTu7II6V$tJh%oO7Z!d7 ztwg%D3&vLZ&i6u>qP{@h)1d={O0o;qnZB{((a)WM1D}>R^*!h=FDZOB;iCF3q0;vc zAQQ&_qT%S|c^A#&W$?Br`Ik^5mkJOxea`bSVk5^M{~44vK;NMB9G-m6WrZIIada(Tl6~P>zA^Q>*ih!z1*cNI(f{`Ch`S5xO0lIXMRAJPjCwL zH8?zD^9yCk2exF^2pp9E;3it#HCLeaG$}YyKZSLVX?gwX0v=o<-GIt?FiP-{I8ei| z7W{(QCdC=zj#F$kblE`*PsFdl=Gr6RLL3HmfwTc46uPX1<1J(t-kHTJo z;N|l2u`(!{M1-Fz;2O=QLr%rmvqA6Rjq{F~z4G4k5=Tt9w2c8PDu3tOBi3+U;%8G* zpI3aO1SqUFu_3v5I5z$F7th3UWOhFB!iAI+=hSd%BF2Q-=^vpjNt{#q{7V?mxVgWA zt`16`^Y5OWV)Cg6cVYi>(Z^VZ-RoGR3v=KG7gY=?fX)T+o)KV39c0EK5l+v$M7<#! z2(SDl*3L4getY(g;Oy=Vzrq6hZxRLnLskBRvfys3ediZP+7Em-m4D*m%)_*JnakY& zF&5lKmodY=IfZL{3E33J4Kg;1O(;XlF&L zI1H*BQhG)Lre#|ntlLAn9t$M{pci#hl%RO@IRBF4qoCU#sAuTpOua+8BQDkZh_~H9 zCRY5sFjN@L-17ZcA;@KHIjSS4X8|abSUY%(V=z(UOym4rE{<{hvXnKqCcJG<6#fmi z0Lpj>1cR`rp4q=up2cX{Bs4t`$zz{9%x->qFp)X7=YMS-wT?A&@$kXVSnbJA%gE?3 zd6O@F%OmMxSvhW9|EJ|Sb8ol^7#htpw}+kWJN>z#86TE>{%PvYM=s!qF_d?prDK2p z;!x73o(!k*Q!{!Rtc&w%Hoa3Of1BZ=i#O!wl!Pnuia#4jR zo-mm^^ti|s^d)o)Eig8nxnKHJ<8Qq*CaxhbSoQNayt*klgxk#qiBWle7`n0V!>eJ| z9nuMniBDn$MiLO7ycrX}7Tv)Xq+VJz<>zNM2t)Oq+tkpFg^A0~Qxt{&ibjH$FK8s# z16%OX>mp8x)Ygih4eKr)$-0|$wsm*V9^6s``~(#8h)0_NfLCLt9cG6s)% zbJvv|1j9uZtiwt55_c%^xl6F$n^<-77-=V`M#25kfvvE!19F+7%-J&4!5RN@LP&7x z#nn}QKK7P2?FXo8kl;aaF|2m5Z3mc|#(>pNotTIR_x$FEW%sx;uE+dg@esX(h}_G@ zN~6x`urhPQBFh4o9w2nAdYrRhpe}5Q23dtg)5+YcmEZA-qo=l?A6C)e6Bfgm4Tivg zCP!xp19?@!+dL%Gc}#hL(9|y%`v!{*9!Ku$v0rT)%rN3{K%(N_CpY5lS|tV zU~!~ggXtkLRPIwwj(zXKu3Q7lZ=iJQ`CXT!>BDZ|wSUKMU<9kc8RgA@)gZDYg06dZ z0;VDQmv_!G6AU9etvE89oHL_V_vfoeWcg>d2x$?qFmkJNrVZ|B<)nedJgoZk>G_SA z`?q}IJOS{Zuo4fmC+rA!U9yq*yZyfghi1(G!UEtN_nyxv09KqM01ig`HilOYI0NOm zEq7i(0{rj5@m;@UB6nohpR=??X)&Q>bu&`|IpYpw>{@R4207MwlQF2_VP2J7mh{9w zf70cI<%mDaLb!o^}PsUI~g&w23w2qV^c+%NAH;ins84zS5+vlSC#Ns*hbK{Q}(Csf|6(Z=S%hD?S7Fv1E$tj}1o zOJwJ4Ts#6%LptaKM~)9%kDE&yavy9#HoT%3g*6!N77rQ*>Es{Oe~u{zH3(blu1_Oftw)UhCy) z(Qu~LeR^Z?{ALDZ!{9z8^&k0X0gF#AYi{bSH_o40ZBFa8? z_#X-L?tIzvAR6u)bWSe#ldf92cop*}9WER$l*>Imnbu6ne(#;m@GJ{9gohv=mKbm% zdIi3s@a3G9{=Jx;2VYQ<<^C|he(>lFxs#SN@c@_3bGZJu0sX&i%-!CLErYShdhbs5 z>jm%+FP-(?puec+IXdV~G;1~ev?9+DY%9j2#B=1)?O(OV|I469(V$OgzUMibmJDa7 zrA10wSipODa8t2wQTZLQw1IMP&PP1TGUUbD?_PN++Xe72d5nD6FKDR*w@w02GhzOQ zVn3x&=#m`jD4fH%mS2pUR0GrGWE1*(69u(t+b&C_)$eE1QH5;LG|RVOFkpooS>r~B z6tq)x6_=8jn#vPcexJ&75y65HLuIYVJ}rz^Oh=$zGcwQl`+n9i^k^|s9CehIZje!C z541gi<}-ycyLKggEYVZ?r~;>ZkJCW5^g9bNGyww%(#+oN>p?8%cX)IqhU&TI1QOIj zT+2+6wn?rxm^IwMEqF;WvQuxYXRO9zqX4qmO{l{Rc28my5O2;%3aky?;T%Jcu`(=V_Ws?k z(`PKMvBj9}HK*gshzaQ{uZ483yRN-A$0&oKNb2Kv#OgGvp~z(qP7`~I08 z_<5{BXW%9>`o(qB8&KUZ4pNkQnQ3N*2hf0HEB)pkSoJGQgS=x1$QSOCl!H zGAVdm)4mcPYw6Xt?tNCE+V;Dx_5@l(#H^Pr%L=yHh0oAR5jx>S?JCG34YeDnQG38S;1KbUeZbLma?rs?8%Q^;?V|Ng zZ9;E!CQv_aHsYoeZndom_{;&T#1l_zZ{g3#I-{mfTRv%49m{J}+mVB)b(3DxL66vd z5!|G70-Z@~Hc-d`tH<6zt8LI7Vx94KVJfWGI9Ufe1Y9B55O2HVa z*ODWkwS&r7H9G5SupC{E&=3uKUtX}w@ePJ9T1#Y+n?MVZhT$luj#V4-Cdu1r$!m)} zH>|S+C^TY&0#wg?|GH4tOND34#y@E}j~1<2{5FJJsHX45$@pcT$+;bY_ZsKdRtc3OLcel zwybLRyTqU_RQqpSAZ2$ePROy9wDBp9~xQIUpoG=c`m4!Za=zmN7hanCQdg|jbXMA+^dWX~TB$;BZ;FxX~9C6y5hQeE)Oecy+61Csgd08WAOXbcTA77mW%(@kHT zrv822=NSbk0ll-CU~Jt}K(j1hsk~P=xIG9&S@5rd)v*Pz%zNuU+hx_NsLjkVZHZVFaELI-r zXn>74f>8=ou}T=b73b+$G1k!-VYPt?3c9M}>o&1O0+9`YstSJUEj!Tcs&;3afRk_f7Nvh*bT37RY2N6i+FOqEQr&E*lVEm$yLJJ?_aJoTbtr4 z&x#?W3*ae7;TY=mf+@1j$LzS^k0*LU*C|}~`i+XBI3`;FtO(`s69lL^>ER7jH-ft<8k?clwKfK|Ly)md7*m@?kdhGet#-9Nlj^~Zl=E&o z)IMTw(I-H1vId7f+vKh`@V|LO=BZciVExN2uh}dqktOm#_hRHYb@Tg~h&2j(P(uk? zm%hFAW{B8y!#RA8_E9Z8$T~Vdth5wdn>ETeghPH-Hb_<#UoR zDn57h_uqNV>tvant+iM)<%rAxUTu%vL3h(uJM0Zl4>s4Ddcf(T;Y1hc2t)LDVW7jT z*?|L=z)YOa&}-~%I_UHUXQ-2fjY+h)+*hBR5M{m?OoEqZ=lmr1u#|PQ@_xKCIZS{r zZaHcXfP(LZ&%AOoBiz8c6jR&kfr-VX7X^03qJS9%O8FWf~$r%*>lY#2+I*8 zjw_(BCp;K)4}$fkIiJa!K4asU@%__vKFq5I51wd>{)FIg^|nHZg8h*y#PS)tgKWWv zG;}l<6Kbubpt_`-N=nRLa`sAFZ25)Ofc5db03j9(ML*$1vcv#T3%m5A#=F5E}J z6UThm|3wXMba9-+uLBA$iz}769G%HPW0M4#!kC|CCegg3yE)F=IR0DEwMP%u`ux*TOt;jSsWlWGGs*GKDZR=YaNuegnI0?`z!fe_#gY-Yif z9efdpfR~dwpbGm%qX zqdOjOOT9O5**Kr2m;ymTLX2?@XeEMAW2kX#qcOwd*vR{3h;LOeiv$3YWnEzEg3EFB znGe1n7EC>Ep)xKY#^5!E))@(^%> z{(CDZt>M9B$Jt_c9m9yD22Rm)CMTM;Hmp_Ph#KBf6bLc4(?kPI&|;Z@OgvW%`mvzu zf*LZEIMBooPf}ChidbifDH4m@q*+D{?@#awLpUfyJq?0`9y_XTi$RD3`7vrXB`wa3 zzPF)J7BrR5*CbW~&tIX3Y}hoi5pIJ3rdVFKFYbSg#_?5`n4Pqz&~Ec8mvti6H~Upi zslj;Z7JKqNq(*84ov1wH1u6i5rviY22fC5C3o;Ba0pN}1xY;DlJhkg`d~U<*6^pX< zQG|^?rio*#9NA4@L=mCTu`qK;!RvH?dj*%IY&7ubql<+e3!tOeB(s7p20M-oJ6l$q zUvZdHD)r!2vEVj~lKd<1#GwVOx5>6E7!_hn10S(0%Rq57sXML{Phs`e2xioYI!mb& zt*y<8%9d!=bj6j-Ota+7I+zAQ zcY;ykTWzd^c3?G)uYxe%K*4Yq*kN4=Zj`Ya7S>fa2Ja>_E5YD%^jMV{pcFDVB9=rLDhz~Ku{UQ6OG7+)iH(u!o{@WI^$Ea!C z^Wu`C=Ziu$R&}DT>wwefym-85d%MMshOz2Eq^~@4Z9KdZ`iS36Yb++kWd7yZacg$) zb_-ppcMz4*8D$J*meU$8D9Smd_}w4hKb`4Mfl|RNL#A;z2ZC?s>BD z;m9eYXlBtvUDaJLu42IF#ou)ws67C6NmKW4=x=D)eyh8W=KNYBk@va|)b==a2X0$L zes4V@E2Ko*-`XjM7u|T&Vh8z%b=clXudj%7(;1|upUP9qi!0^}+5A))TA7adcQNHm z2W?}Ap9YxfV}p0ywWGE1DQpQN&J)R)&n1yXv}n z;?kTwk<;{tTOPZ;B|F|p$av}QK<#?D+6o-81`aO(%vg~l_AYrR?U&0dI>-i+y}7I| zd>8=G!&u(IBZQrSo(=TnE@*A0zqJQ+Vf;I2B{0!UTHW=s{W4}`gYg^skaq+jFTSb- zl(&){^xqq=9vi+DhK6=&N%nzIMefX&&N_giQ|_Ruq_+3)KL(aquR_NFYs0Ou5Y$OS zU!3@GCLjcZPYf49RdR>rDOFx|p(=TCxT>Vb16q&JyzT4{F8?Yg^fy z%w5p2{O0L)>5V;5u&g%f9(XefElXZ^pF25kMb94>%|G>Y?%WlpLaXk7Xm8hZlYzkg z)By8C_8YYy<+jxQgxQ^LYX!4_AJRq${ls&m$JnfaBNsuH1(gF+k-$9dFcpaFIqi7-O9A zP0`X<5?6|fx)Jd?P*bk{et3l<*6SSg3lLGN$XWOeao_aj8#frAtzuGEs*ZEE*_*85 z0zS3aM?;M1x{S3VNC0P!g?-*^h-N!#>7~)I z3ymirg_5vSyLWv-Et4q#sj2hm9t1%RW`G$!n4uuTz#T+8sE+}+sj}n4Sol`~-t9O5 z8LqmR8C@|GY`@&O{b6{vP95xh=qeE}NS4njp(I8R zGo#^XFycY96T?`dJgDHByEykp^x7F*L_DO$B^{rX@*i+S8@X7E-mWA(5*HIf#1R68}o(hf_oa5## zxIB_*a1ua0z!&C5ly{@3r^;cq;mciE+!~OI5oLW>J#`UajZ$d<#ymddaI7G%@puS= zB1i-gaCHFn1%Tun2lZJ^NM`C#G+8S!PyjFpIGC`-!bT^qbA^4MT*Gl;=BlT$CyI@; zDfsOK#(+_wwmSNBu@y*k3nmNKIuXG$mIw-jBi1`LZ2Hn+)pbh_S>+_3&$h&Hn-dlz zS6LLfooGxZgf!~oD8-Y*sex^}F(Xh)HxX4_2f4I~E+|Z3#4j&Q=(e+wM&3#i`Mm@= zUG|viP>FVuwo;TEpv^A}1v-d=@>sVouNCj1dNp|3I!ASdh+OVi;A)(uo4#t>04|G! zV)2c#Uz{$|V3k8eo2s%Q=8G{AYlCa$G<*xej?j0lr);Ox(amkTqgUIG6$NXI*_7wp zLLS@BQ`7!Ht0UD`oprcHSm)N!rnWD&-rjnXW6f~1*b@7rXz~YEw1hgkNQ(sj7H3mi zwS7}}L7#1Nb1)Na+qGWOG~>n++MpL~bV{7#RZe>qab^dLZJUmFR!5g7 zyY2jgdXqlx2yLSlEw;xy(~nd&IuSPX-O%`)qz>9)(X&lY=@anX>Rs|SddPmN>bB#w z4Fh=(GA)6I2`ZKQ+P3M?XptsoZ=$cL^5ytyV>#f}%ZUd!^X)AsNaOf>zY1dTE$V{u zs`&?XSfTWS*}*T_RULMZdpbM1%NRZY{aOYCe#6Rv0o+P zWPIk|1nRVR3wGOWZ8L33OIk@)6V=9Tw4)EX54q8WwjHwVA~fBGv4D2W8woAdc28Ti zQ(aYioXXi-bWBOrX3O5xdgl@6fU~1mIlV zFNKouK?0m~x3#sGwEW2`ZuyP9X7QVJO(@dWIT^of3Q&_)_>9N*vknpI7{>QOERJEQ)YRIOvf8*ru z7axQwsIZAePKor!m*AiAPrO=lO!c&2H;bTp`bp78fymk_ z6!@0c9&Ae|(zd0eciw*nlD%g?7dUP_|5ZiE48&RW-IO<-tbq4lcu5T5Sj zR?k^u0aC%Fvbu|{_$Hc0P;rvI$ah+{ChHMM|E?Xr!bP3?YQR0Vht9PoEBG=mtmV3M%(_@n!! zif=NY6yPHasDctlR0(ejz&5i=a8;gAPOtmQ zC=%C7379kt5rU%wcen&v3+#p~#Fd&wJN2j&&KCi80*)BBi51Hb4H9j3K$9C3?Ui=2 zg~qym9KI4PKF*~wI7^ZIzmjbrni@$K6dJFfk{EEdNGTasF-R<<#*%%F4_T(TTx^z_ zn~YM)c7sY(Td`hQXeWe^uW3#yge&49WK>}S)i{^IBOu0r4_sM~#YKzMU>6vL5Nm0T z@j6BT2r8iP9G9n0?YQBwh-ly=9b#mjYx$w7D&jc?p)S`sbDj&Ojb+b-_ll`(v*H#2 zSVU{HQ?hF|v=J^9O+^hW9+lVr-4&%$z!+7u-+e}I(_%tR!WC*Yo3=HjB+20tQMEz- za!9g()gBQKZB1;WrGY{!`jgAZ?~c#GQB#o1#)2Put`bwMZQ^5$cdsKoIVT-EG` zmdShM@@cPpp_)1PI5x0qInW=*0fNeaV8WRA9c?3I9khH=C?vUBev@vggc2Eu08-iP zdgX=AWCDw%RKbcNhBTQ6%6y@PC=sYWuzJkkX5wEF3TE=tr9}K0`!a}`YCVvMK<$Zk z3@(#G!J=pOR)z+_K?q7Lpc$%m>bexe*Pc?eUiOlOnq?|w65 zLjpN?)$#=dMNROgsLzzQ6?W3h1YfFf&6=}LP7$SGtdNnA4(OKqloUIxOQMo5)?&Un zLpF~|S){5|r z2x#AArq~K6P+zmPJ@i}*s>)TawPhlwR0vov{@u=&%ox=z8=_>B#vPLE&63tk{E1OP zowrqSLX)adhP0w;E5$RC*eC-dPg{NkXU96DCNUb6{4%{%uXpMlztc_QRwJ>dYddAx zaVm-y$|Amh?COM$waK_P&EeLy!tRXW6K%jRXZjXcb;a#BUN zsO?7U6BxsFq*TJrz{zF0EfdR!7E5|mX_}zpxjEw4yNN^uW-ElwkW=GAwm255WWH!3 z-iucGDr2*=4ExPPuAuT*7d4pO>B4j|kl?ipJgW_c>2^7VBG)>-Po{Q_0B5F6PP1h@ zu>=)jBKP*&*oy{*LfvOamC8+83|t8fF|E6eB~3QzI&#G{ftw*QrQURrGci}A0ZpCU z#~#TM#?hzkY<-daoV`2Bmrv${!k2QwT3f;nZy6g-JkpUJXBw8s=15hOy(FTq&$vz) z9|UQQq~aMq`tIueVDz&-R>+8woh1>M8*7SHeG|SJ}5l41k zlDX(Q*{Y^WgeF!9rp7;i-L>m%F1~DmyRy@WE;r&^LfMH?MTZ;si1Qe6>#QYIq$+^g zxN>7+o_&cGQWb{{9&Mc#=Vm_-&+^%&73krnsMXJPhMNK?Zz32!XwUZ z7#HiT@7>>i|C2Z3#=F&jI5G8GtDe%wy}NDwg0=2Wy+3;M+Nb{Ty+0)S2+7NTCx3V4 z$-+?Jq%-Eh8}BQAoZb^?AG>SGqjFvTvrV0i`R}&&cFZnuPBblPw|Xne?>}6(=wzTF zyEhbU@96)=-EVe%RaZ*p_sza%kK?shNL!UHIMVtqtESud{l~<=m#5pS*FZ zbL)u)?JIQpaYvr~PWaiTKg@r2_LKK!zZ>~*Nz;zJ%XZ}79xQqCMAe)fPaZcyCHXta zF8kf5?rWJ&dbG~Qwc7FN%7X_#$-8^6{S+;6_dk8`o+s&-I%Y&lsCai^Y<~Og&)O&N zoibK$w+}4YrJoFizDnv&eo}nLUVBSueAdkS+6!LPi_5EN34OQVLNd&`R( z*RI$_c7~2O-nnD!JWVSm1wBvpwl3Jwc>gy(o=^9#IBxunHFkbGJy9V8z3(LN&fgzA zpnuq5-&0<#6$jhrEy{YQ;6&?fCAWY0VDS?#{iN;p!TZU{`>Mv@SQ6|DGy-JbSTcVX z`7zllW%q+XYnS{aCRnR$T72)j!2NCal*4#jb9mmPftxo=xlOrcd#B;0!xHIuHuQbv z*~KmI>?a=<*=y##ye*AmXX-$meS_Y`^rq}m2a-@8OPLv;p6*ncJe;>TI-Uu zef6VITjAFy?{=QgUjB>R#ZKNE_9xlaj+ale=y>*`4^{xr?$7};*XH-R8M*r0AD*Zw ztox^X@A%^<_kZKP_dmQlS@QBX=bq9T3cj-&QS_w}>BGBVA3bT%x*I-(KC`laGM)SU zhl`E6q7$=>l5gHS_q}^gM3N=9e>`jQghyG%6)0ysS|Yu9*MHmx@8)f@*5Vtu8HnD^ z&cj!68}nZ7d0^2ZrZ$9E*4JPf{aN6I@#t3@);j-8pktIyYI|WC0uCihm+|pD_YDQ= zKkE=)O|3I{ORv#3&~U3w?vm|5pH*8T$(5v(=sA^>*ASiBGs!+0a)N=b08x9bXfE(D zcGs1SYe;OfrUI@_Xi6;!uXr!1xlg;&OHtcb<=bRe#yWC{B3j?loQyULnxtx}N`NL` zQ=HL~3=7b>%p=qiu?NM4+S{K zB0p+tu(+7*wor*U&6+h@0$Ti0D_`8i#V)h)+}f9C3(jaNs8Eo=KEy}Wp67EV0?m%B@-oq={PulUYs)N6 zAwGYjLe&P!byEYNPFwC;326&;#_{SFtwjrNB(;EjZLtpE?F~^H&;HUj4Lw$S5>|jx z+i4}gCR~1{lA8WVCt2Q_$pv^$%NSL--nL8`ZUap)4mCPTS#w;6t27xW9VC_yW>LDY zwjoa3sw)FqqP0T)vandAH@eOy+Zjz-6BeN>_mE?Ib3nLDNqro4Tt6S@7zQ?>YfbPv z(VOjg@dQ)z0gW+tCt$05n2k6L39$2Bli$zuvAqcoyRhQ77uczQ39$+1MJYZ`%(Q&? za(qfI;JE^yrbC&uPd@B!ApUNHN^Jq&h(HwTd?2&;JNr}B)Ey^Q`Nmd_6C@`Fd=lX0 zu(&Q`Q#lvwO28+i#kz(7MlG|Q-)dxmb4PsCR5zt)WYv5t(^uFnhe@kto6qZ#Px^tf zoW2u>=gOgE*FP`*b*)q&#A9T(W+>}q^D%jntu|N=1>E4cr(0H1iMH6OO0Ir+G$IDx z(pzjb&MVeK^5&UNrJwFJB&ka=tmH$MR$9JNqb>Te1+xK%s)VAA01ke^#-u~*1xPBD z>g&p|N=)8gK&)<}$9!=UKxMoZYow0q$VwUYUyWCU0`83mg2|5WTb9+eWkV2Z8B11w8g7& z&E}g6IJw!$OjuT?EeX~>i6?%+g0Bgp83(B_*qclMCZgz!fowFqitN zD~SMa7zTL{QHb;O#LKvx%g9cRq!c|ONSh?;#{G9#eyu@+#?=xsq&TmwBU7bJfa1$< z#djXSXfj@c=2*~-OEWQ)amP|O$dg_2%g3Gk1xrAHYZfBmw>Ft3et(;zx z{g8ZDi!BBAkLK^P{vm3~-+l{!(Jz-|SRv^KlF>m!84Z4UN+2w~*(5d2sqN6aNo}~t zNdQ5|HeeTMjeEw~qKBW-p@A3;J=Y;!*S6@;M&$1z{kI)ZyY2Pava;Si~e5ODHUM1N&X=_vrLk+ zq)3xI)+(6YR8$s-gsmP)P)1u;mn119Pui@>Qf5oWwc>=pRFW^|+ja-Vux*>aLD$A= zQx=8pttlrHz=tPknpQx%bo!UvQF-fom2h6cZt`r-($W7h`kHAQ4_l+i>L%sRqpRku+yI<}rlO~k6)7@<++nmV(w=Zbl-8xmjtH*xi+M>SJV6pR6sZLw0 ze`KtFVFNA6pVxZ3UZ*dj%MXl=PpoL)JauX@d15pEYEhni;+E{dzpmW>*oj+4UmyA9 zANrwsEN=Maj~9*p^JwMvvaig1^WblPw`5V^b=n({<}T{Z%DA>aD`VQ?{y@fqE&Dqb zWpt2^tkWcOTG^tE{z;+svi@nsV>D@Qs6UHNZ5@AGUE7ZvTMD!Z!P{wj)hxS2NB+P` z{k9UluQdeKa>e4m{PtvxQFws%1adn( z?fUjD*8%Uj9U+@!^pT9Vx+hQH99_=O%nJ0$nVCn*W(6}lG9Hl%Zd!bQ+5Ja~0|jqP zYPfIgqT;~a55Le5S#o#A{EpjxZy&dByIq4ixFmSeuBv?`F!$Xa>u`9de4?VMq~);H z+qCC{qseR^K`i7#JMA}z}38-2|~JI7@F zTp6D~zpF9-w`BjH4ok;p);x6Zw{x^o@ja}SZ(AsYI&>uIpQLX6YZB-?=bp-m#%ZmdgEj!Emn*!gg zD4F-ptPk(LWABPaCwMZb-%Z->!}?-k!zp9_$?9J$3>}0nw(VnU>;8=U^7bpU$E;b* zKEYAb+j)KDROdCv4zGpN;OeD|S1(0Q=!U=2xie>KUe2RlzB=QPz_jhN|2&n)F8TN0Y37>03VQ&p_gT(jI)i zw|ZRN(nU8NePGcoM<3|5wwEkk3gi2Vk{gc~{RFMy<-f8wiaxq8^6OCD*M2;q-_80{ zXzstCsD}A{QT5Tk?VVAJPkyW_nYVP-C*@C-SSO~e7~imV-gDT3JkxF+Kl%@9Qc7mE z*%`b}barKEO@^5erg!0+fpUZ}3nR0~*;PZW2;TOkB^@*gb1uQEG4!_=TBz3kGAZ>9ehhH9&q-?!G z3y8`>DLzW#BuR$|R(|Bb4omd~nTayp$m%wnDt$v)S(H$J-#51ZC{Zc7RI68rf4xHe z{7NE9If?SXIyoNTixq!-vu3W)_|d$fNT#F-S}e}%U!qXDUDu|^dbL&yR(GdIPiUG* zW- zx5F_g{}733{Jw_rkIN}n0QI4CMa*)9xF36i5CFXYk6HqP-7vIudbfsG8+2W@t^}%? zgEXDi#5;2wZUzlJow+Oo6&ZKvec@XMC%f>JgT70Wr4~rZbr604$0=Yj0*$htLQO6< z>n=wL_CMac8BnGw)|eY#|8=5XQ!kU^ig`s0WW;y}Wle$8nkDGiTUHUsY{%S9L`|Ou zxmv@Zc|9NwXS#`y8#j9&JON8>!Fw7B0UhEk!jAM(`TagYteuZknK5coj&y!#V+Xg7h=*;yGDXG zbDBV>T5|E#Mr>4Cw&~fDsIS{dqu~QOL_S_AQx<4?OnST=PG<$H9+_^bR?_axDxmd5 zTPBa1C}1C%5LcC93$f8sebPD-&)^l6JR05cUVM6zuAx z(UxHH4w~JBvgRmweDd(ytF_~e8p?23bSbKr(&{j$CUjk3pw7L^KJnup`-Oln#*jD* z*jL~T>wtrH94^MNg>xXqV)u{&y)axi%Ae&%OB*D*NrH25ZQSA&pp-Zl!_&gv5KRXm zoDiy8X>c};*fy*=ZU`><&Gsf8*+l4nv0nPtOaNj8gjP7oaIhrsjB&uDSgNBf$)dJT z-Kn)ou*w5@yVifa7%?|3| zG0Fg-vG9J0rfd6b0o%WPm{YiTSU|sGeAqtzUM{D|Aa!#*f1FN5W&gb ztmP_teVH_dC=cUp%rWHw*@@$Y2eTp0Wn+x8_e*@1l+jXF)SwqNZpm!a1C6Z(*OvcX zqJst+^?CT;K}d)gMvaD-*PyKMCk60nvQ3g^Qs*n*J(is@w(R=#MmsjBW3LNzN@L)% zw`l%VdD2eQemLH_GM^{eizIAlOUgnrz%=|IL+$yCZ=0=O+b?BIE9=k9oSO5S=Rce^ zlg^#>j@?@ktee*ngqAXPz}Zknut>=_nT#eA04~Msf0!^>h!LC*r-aN5pvu-J5LV0%I`tQ<$4gf~j$qB)Gs$~+FwIU*B z$9cR*$SM0U7&qkKdq7$Q0vjZt?y{C`B`v)~0)m@(?duZ{Ji9dSD_@Bmyz#Jw3hoZH zx6BG=ZMSkJUn61f`Ry+!*+69WO6c@>jKX1W*fOTgTTB{*1+yk%Aj%%> z=)d0Bd*B(ZwegmTMZHA7eO@uiZqW*tb)u!oK<+a!E4wQC@V2TpfxdW}y)+X%F3S_3 z+ineegHb2-T@!|N2_JR{&J1rI{IG-fzA5{%!;;R2;cPiJ&fXfqAMOJ7yPkfd^I2)k z;r{(n;N$q>y%cYL4t@jT-6w8u$a-le{4&Qa4IFG5bT{~BZnyO!b2m8U2CTfJvmEAb zc@%G^KJMQCa?R3;-SqTSx@YU=!+)@HlUuu?|6DTeBnf{9pMra%2x`~A1J+}|Z)tO% zPk*!l_;Bc>4NMIRpUEfeQyDBGi~i+5vaG-Be`waAmoO1m>*`{~0g7g#S!h_FDf@firk@uC)+NEuVX|ch;0Tqqjl%Va1!v ze+c)3Qx&@(T;5l{@vGL}@IS+oz#ZT6n{Dk4$20qAilp>#ODon6TorO;2(E1JTMkmG zWHbb2a9*V6T5;Lr>!ixbbw)e^k(kIhV)F{oNmh4>)D$HK`4NC36ty+p%{Dt#*zi~) zvCK&FF^g}>7L=3`=DAH;siaz9fpM@tQ_LqCIVuU+whTL5bL+Ztt`m>H7}Fc1pvXyM zX12Fq?Qko>y;Be%23NTp_(oB~8kALxBXY2CY8}*fjn>S>s&1C+P6&bxE^C{hh=KGu zvRisn@+C+-1>PHz!~(db`=w~6Pl9y%5&RPLHb3LM5Q=`XMT%-fZAvKII(YS41~(II zmFM)Px%XV5#pR?H&lF5a*hhJ#ipa9%qxk^E`8=&%Pc=WJxXVi^jn<5UN~FjVm-}Ki z+|&eo7{RCcWqzFG%l+Cj?sA~c+6Ik-=9E;ImBMsd6BSnQez-(*&=sQ!1pnnT6~C;J zPFf%;1&L0ZvsN}GRNkUtp25w1HqZGN=+^%UaWsQ>DP)PiO7si$R(;&;hB69Z1nZhdm-f)tqE{8!~ zCZJuYhlG8E#~UlE5EOJ8q0$~WKy$LR_lKkKn!+~oY3c?KT0Ts2zEvo>jqaW=KTRfD z3!(*&H7wH{L28L~us4+3qi1;wyN-^P#f(;Za%TRPJ z)|%h~<$7*TGENm^@6l@8=KVqxFC-c3iGLAc;@sYn!Kb1HoAC~#W^N!BFcI(1u7dBG z7}ate4JxIFbQOQ{m&WO;O17k;#qVGX;d0tSf;li-pj>zSEs{~MS?n7Sm{Wp}<64s3 z!r�_NLxwz-7bY82jSle*5Hy?VQ;_l}v^JfP%0A(Vz)SNx&G;#xkhKfP#jJ&|*9k zVU}Njsa{ZaU8zNcCr6Qd3k^#Qh$=kMWCvg-EQ!`S-MmcVGOYr9XV>ED0kL4lcm4L| zj^l?BJ7O!sG5H;CT^v=KfJ#6nfZ00eHT;exV9q^lJc=ooo1lbU#!Xw~>a~`;Zg`&7 z+ZMhAaHGKJ;^O%Bl=FYtd;9n{sxxhPW-??23w<<4V|gMRM{_ii$BO(R#c_-YiDoo% zh6K9pc4@I41EGb6m_XTX zyG@+56#Xxt_bXQfBkEdm;2nAzWa6d+i)Y9r8U_dD}N{w4jBbbb9 zu@r)mE5i!T6z6~kv@mH}%^JIuZGXco)j50#!}?NvUJFHl2i}o%peRk_uwl~{U5uxr zc*YgLDW*Gx=9hyTsI6&C9<0f@9;3>IJ0?ZVX_0t`%61pzGTd z!YR1E8-D^(Dx)0&x=2{}eE7L}PJkc%#S1d!-_X@#|Vj;k`gc>t+b6QS{^UR`oid&zcW(N>16DI~M+sTa8HkQ{Vm?xcn@}1}B zN772WT!TjRvq?4>BV;(aL33w}FNy93!AcjUgJhJVLkq#QM{u(;7Pl!WV+CCm;WJn+ zY@jlby#bV>T(*{G4i*vL#GdFs1RF9+A&^y<$;(oGSXQJP7*Q6JP3v0~KuEFh$~u4L17twkA7nFK*r%xX9S(3y5#2q|b3qYQ+D2K^qTRoOUc9Vr@(#6PR9{JLwE zwyXozsF>EW{+i+na*YReH~og2mfq`G7hV5>Sn{g9nO_<0CuJRFQS7j!3OrDTxYCrz4`9z>C&GpP+7;I7VISTWOHUNymnXkaeD7?Ff;T}`ryq&TXWNj z{nBXiv%fr4`L@0*@^&KNd7xAIeZ(VFh|Qj**98}xfjMpc-S^66(PeUQA~)p)6iqL= zrs(?g8=w7YdO2)uUh*Zf^!32WB~u>HB5kTjyuRb^56VJYbD34ibj{}L%Yy5^R+RPt zGY2zI?D8EV74*OjJDtGWi{Z-6ynNu(<{{->B|H9}J{<{d)u)xvTD)7)OuBvNauYwA zem4RK_Q~s~ikGdQ5`aoOita7mEUy$g^k2zS-lB~iCmjS^RCMF657OvHz;oHH@=1Bh z6SxIv6{cIGqX;!}@2bx=BwJgP*WD9+>b@&k!Ks-Wo;WP8t3+`Q-0;MK4NrV~c=L5A zA@5{UMEP%@HGW^r6o1`JtoXOzMTtj&Ih<#1kDP^MrgT zTsCxnf3gD+%g-k!ifXQ0m_0k1eF1?qO}|ptA&3TkbzgG&%%f#O@tZexJ1EdGEM6US zNT;3^r8jQwfAHRy#@BA~yg#}!IXk)tqG8@S3nb*g-jx2Xezs(E|LB>w%|n}haj~#% z=Gn*Ag;qX=oqfR%&pPsAV4ePGXIWy`*x^kRq2<2FiqfZI>82@wzfG{^Kbn~GZ2Gt8 z*7UOrX14zc{lTi(J+s}jsC#O-`1*%Vz(hC)|30kQQx%=P%6TcbtD-+{hO)^c%EtTN zXoN9xmvaB_rxKB^_jPC&hl;TNk=y z2OF*x#4UgjY^h^f^Zhe{KYS?0SPj`MUoZ2#(R0KT67DnAq(y_>WS2c7&*{-+QC$1~`@CF3$#K0fst83UV6N6m1mlugeT_Acm_LEvh<<5C#Up znr=>R8-;~43GD~=&Im8}Nk&j&{S)85Hd^Rz;Dm0jLhOQV(QS3mRGnCK*((@(w{Ow& znoEla#0A%(p_y($^c`2uxmR;Re$=sCDj~K-(_r@GN_Ek^AX{B)GAUVUDQ{84-NGP3 zm|h#=yczaS71I!-jm95VjY0PS>7K92<)b3gHo$21^*J}) zCS?@UY?`D~lNsb12QK_9tHi;F6B-b#+FHnGM7WJnIxbG;$6eiA9JiOGn1$Ubo>4&8 zi}P+?XfRpvwKG?*+5_VOxkPez>6`61%M|SuMJB|X;om zB50W;dw??p#ZFR|i5Nx!x+`xA?wH!tulutkzE@|G14>#>jDZy(Tq{alge!6V^B&d9 zCWnZsMeP!8zG);?PP-*5*a35(w!Bq0P#)0lF3mq3}Q3tDQ z3CTvlRP=Y?FG(|j*xV(Y;f87a8r7}Ktw=!tG|Tv4wQJKJ;)Q;YaJBCcn!zdpy47}> zZrJ1TIvUhK+NBA$nHYFLMJYAkHcV!u1_rIFO)ii{6_dEka!zLAmjSBQNa#-w8IGxtxC1n87l}J|cS_0?glm%LAArp5T@5z+>d?96 ze}e_&LRC=7mDH0VPt$pf?CbaKCV-qqIHUNFL`jwq0#(RaWz>hqWq^TS4L8BBkWv36tt$axpa{OGwe=o^x`I_=u7;_@&ow7`vVf zcP}H}R=JpH-F&xpo&FL{wjR@r#*A?Y0pKrB=vwOX_pmJ0 zQSFu?(gYK5Nk45mWv|ecN9B$fF7cAb+v$nX9nn%$x?uZQ@9pvqeW7}4(HLr@vgS)g z^iX|0+p=ku_KUa3Sg@JCG66Fvy+&F)<_x(yXD^m*GodR-Rl>)zhqb{#g%UD&lxa<_ zX_(%?3r#tC|3j;1q6-eoQ$qjBBQp!Gye3dAT(kJ_Gusybu4+|PaE8QI?oS5}ANb&& z>%X*e_t=MFn_B zi1VqUN0rXdP_*i%-(U?gL8o%}+;Z~>6q~7kq$Do&{O4E_s=V1+dMOiE4R{4 zIvoofj!uyq4!^z4^I@#~(Xr{}>IpjW{FJZkUGL!|ukWAog)2y4Miy3OD=Pkvx|rVG z@MCLwarkC>%f!z+D{92{S1WIx{KbD(u6WN(KXKs0aOHcG(~;#ThPH)o&sJ$?Hl=_4 zZt2#*bZzb1{XZX@u2t6383OxvnXxwX{&kr$ggI3O-|wv5_z%}c)umIy)gSafl>Wzs zSAI|3y<}#|)gPQ&9IXn>)RO}nYUmBDZRIEaGk8Lac zusKpC!*fr3cX;2Hx6&E<%@0mKKr6)xTHg_R)0n=l^A5!Om&sMZ-!yoSo!Wn&^l$$N zTkE$zedODuIQsn2nJcecw&@PK7-Zgwp()P|&mWnJUH!Z@tycVeV7g-2^Mg}YdjAmZ z@O?1*R`&_`R+_-W}0NGl)E$Ej{ePG z3h%qTs)c&68N38oBhZDyfGz~tj_xJX;AA?S-ZG6W;=s?{| z5bHG;!S#xx9$2!Rlq@eTxvuxYZU2Vou|HaK5j+1^;(=>MpVZF(hg{mR|Je5qNAD`1 z^{v^VACCT0WO~t>2k3iO{iaU2|DV65PQ%`6!$JSm?Hj*1lU5gfajNm)%5}f}On6b| zw`AR;6Tc;iM<*_lZ9gZ_5PXy%z8&@2egAHK`h}|(ZJ+L~g??}C2iw12>%_M|_Q8(I z$F_P9w&f6G0o31o<-=NS*K$OZ{Qw5xDsB2VzURlMZL+NUo5kPm{=OspTf2Q(_u5V0 zCA0TAI)XRDmtQipb=~a0lwI$*F>79@9)Gn}?@+TzIJWq-l}O zx<}5KwCFwrc&4({d+76OonpDowM zspCRNI2A%pGJ{(AJn1zs)r$VG{ZjXUXUrxD(~x1M3%ODnBaTZ=v_le7Tz9?LW-1UX z62GP@=D0)KtQ7OC;)bdVMRZS-#%pbQOIJII#RsI<-c-I~JqORyX)R~U5liN%{aWHy zdA!ApXu_#XOcDZFQ`Po&)L0yINC_NQNl?w8Xwra4eTHkqAh&9|p|DR0!(yM38mY0H z$Pb8JQm2ZEzln1_*(lR242Eh{#eJPMQrcqs$5h2wOu7p)>&(3#rj9uve#*8rIR1BJ z-Kwxf$MU0@HnI_+bvqN*`2;)4JS}mH&#haSrvCuYUzE%JLw`gLSpgVF)P>?>Qqvcd ze@OOc#@l_G%S1>8uVwELE5)9ZN{zVL z6|ya%uEuO+w@c>}%5?GTeimyk65oGWD+0Gmfl)Hl zN{!6Zy2f4j;)L7K=cSZ*DkCzoF7?6ms~E0Spi=-P!1kc>YJzTYAXu$bQ}?B)(gJyv zGIA4(rKCzCoRZL8%*EFQZWyLUtOHTOSj@Ont~h6+b1;lQ9gIsbbTcsAS}X%oDqQXD zElQiNxT!V!{7F=eY|a62hz0Dyn~&ZDe|_}64UP=PF}-4S;bPQ>Yfq{zD$WAW1Na;^ zV*{3APsgio!2XCvfuRx0FHS5ml>U-y|z?Ez)Y~g4&+`yJ`+@lO1tK)xn zv%@VZXB~iMt#)!QV^sjF9To&kQ+=rtyv%lUiey6&C(lN(q=0Q3H;!+e3*zqZWa6!Y zPY8zu=N*fV+4Bt$y5(g25*WczLVw0`4#0OPG0-@EMO@t}uhBM{RN(Zas*xO)nZ9SA zfgw}_-3CQ*OAdtr%UD0?1PqhB6lZsSWc1ELm*C7?&iclx+qp*`z2`(eB8cdE$5&XJQkPKd}l`~%S_(-haOqcFVz zldD^2|5ic`!)|TKQHiXQ{o1XA0ob_AIQ$;04C+ZsswD;CRHqnjeuO$j!RkX!@~3qT zQiQ}SBCPM!xmc4Dba`VWAa@M-=FI&%zYp!q^?3uFb142N(J3wg!vj5ofo*;P zR+J`dfND!gYbeOOXMg=c%g`?0fwy*Mo;c(?uwm!pgNFyVMeb?{X&Vk6_+sg-u;7tz z?C9#g=<_`(lu9o=C!INSqy!-}Vp2+#EH~mMC1R_+tM}V!h-`?nq8Ht%g+@AQr$)Ho z7`-#}ljC1h$Ca|&9ZFShGB*vr0@h7R(^VfRhonQk!-E~ZpM3M=!6~2b@j+aBYzDBz zB)jIm_>dHT(Eq5FDL5aGkPhjmPr>$k*hG*|2kab1caxE7Jq`*>_lAel=%1(BJG>U2 zrK6N&Fm9o%HHUuj`$%N-tPKYwYu)_dCQkS6<`#aSQg&k(k8FNaK9*~=*E-)oZOGcCTHA5=Ee!&pO zd*3$SxFiX)zj0f1t&H|B@61XZBRA8ku@CDbfPj!^4gp_H>Y!4t5g4t~yKXNzB<+%R z52l~ME6(jZ@I~K;;mF!e&v<30IJjC>N5`VymaE-w71D*p!rV^5X$i!lQgO$q(fwH? z-uem_q%Umv>3We*;{H)R&f=DaGw6PDP@fHjfb1fwBMBLv%#krLAY|59r9b}IM*@&z zU}=)t(h~1Gb>D9Kqk!|ur`VN$#9$B3)+8YB3K_U3B3F{-v3F81Pfstl5hkd zH(ea6ecqnDbt-uf7rI`p+`c%wJNHMY`wxc@=ahY~#ggp0+j|bt$H$~?ᷞ|@o z;<3jV){CBlfpqRU2Deafh89d2!}wOgjA8KOc-6Sz>;oP93K-XMi1QT_!bAqw5X`3< z!%(vbcrMRkI+}Ap#d$4$IYv}D{>CvylWJA3t6aJ-a|Ia<*{PUd^q106Z9yh=-GJDu zx2o=xajRB41`qjKI@1~&5-n~Grz3GhEcudX9-|>7}G#fpuKWm1Ca~f-Ew%zj( z?de)V;Zm-$NA<3pG5AwHDIKqj6}h_jjA^%RZ*-+X_C-<3HCkNCcixPVGMhPVvAe%D zFW`zX5y3`Fp$hR5FgU5qV%+0IGqk$AXP9V`7IFm!?7U{HW}T!GrfG>+^7A|Fk;rQ) z5a71xQh0_K%k0#E zK@FAjD;(n&F1{1G5Y-#Aq-nx7xH?-UJBC&5TC+#w3r`#8wS!`huf|b&?XM$Rppo1C zBD%-}TF;mj)l5JhT?}cwRiX6U1;qfwN9`N9hwn*iePp;&NxB9r|IbY0csg4u_vt2K zcG1p5n;?UZCt+c5H&#@()`ZL7d0MhjAi?>(-B zTpqC|#+amstNM>CBu7cf&rzAN5|p=@HBx*)VXCDtYtEq|$8Ns`erX6&NL&}k^Jhv01ls}@YHXD<9<#Y8NqWBs4($Jf9zbNMllWQk(Fr6lS4j79S`u z%!3(-jxo%g>K>ZN+W7(HMy4FCeJ@z0F%scgUcbgPEY+UBroh3Vhr!pd>x~}x`q9?BSbqU zjyvVWA%b%v+{^KRhiB@v2$*=xoto5=AZ4q9MYAF0zo9!US!|Z zh@10W92mfCqtB&0&;ZIVYN@ZgVFXsEnS1B7kf=&Ex0L54AWL1-ioMeqM$S z3r-NHifX%z_Oq8Xa5ypS&^U}?w&;j)imOf^^UuR>fMz<=rlg#L7${=Fc47bRcX?ot z&2#&tk8+EoMx29#&WZ4ohk+ISQuAZUg7Y|~F62GqE56J+9~h>&eeRFVSd06ecP69d z4M!w4@1l58)Ns2!w*#}UU)2`vnD2a0=Cf<5!2b9Ik5dz=^xS?3R0kS(-%wPxG=>_YIO<}k3zLYSU@L(1$STU!OnkL6Y zY|QV$(33w{@MVm;vq+yUF$I|c?sP%1o$sbN^BFNaq z5FhvRrb`#?nQd(RD!wjMmH&3`@o}E2xyR&!*7)ci=fTS3xpE#L9K9Co07b(S^xq$L z+MZ28@QGn=zj~_3<;W{mlhbGoOuTr+@6_ft6#}fItEg&D!xh^jnu+rL^=_!${KeU8 zoF<;@vCf{%T$MXVe$?V0`?2G79P&T;`NJ!Te&-A$bNQy2P&=+LqV=G}%!41d$!RgD z#-o)==Gy-B@z6YHyc!|69gM9Q$8o$fBr~TmHKUZ6cu`p;;(glOIsa-m^h;c(>W1c% zl5<5EYMm}Sr=;rC?`Cz3pM4m)nD&CSDeD)m(Oy}#RH>r1%fvj zSC1e6s6>G?b47Ce=Kt;1Hh{q`I03GCbuI41ITtPza5}bgSe|#_V#?*msQw^~erVG_ zZ^nVvT&j^jZAM!c=61eFww!$hQaw(!u+|@&u0+HLiwZ!WW}GT@y1-w4&d+`S-t(Ab z{wF{GpJn3vzZd&c3c~-p;lF+JKTOaHkJ|F(|LsZs>Wd2}{)an$QpmrmxBvR#lbZam zb@EqRKIxNxRUQBJ!zWMof31_h-15l~^p~~qG4DSafc$>WC;40 zO8JXTpA12NQ5hfi_LCv#<7(wEwtX@L{Y7Pb+}lrvppUDSKX3bF2>NqfeC)eVhM{J>jhGHWXbQYLB~C54W2315)8a_S!c4 zhCNd6krYqLqvVzWTd|s)1YF5I>aommTYq4@w6ME-s*ve@mK?VhOXcTPuIiRcLp+i& z80rPJJ$cY*kW%+azk2G~)Wc*uJt!v@jN48sPkl{$<6Vj&6~+tphB1BTrKOEsm#(&p zIEh3|4XG>O278AE+qMHChSR;F8E|tubkC*(Z$=pG?n!+d8Vz1J5)8B6E ztKS+jFZK0o(d2`0XxX&gFuPNGQ`Y0^s(^ygBM_?3S?L6MO}3j$WA#- zFM|Sgs7`^!ceP!JrZzC8Tlc%*(cDmQ4_H?9_Qpm7M9YIAD5|I2(vU_5K;tphG|j_( z8&9ETmDQJDd%VFlP_C*{jlEWbM<;kGGK8QAp(-pKxB~^B}vaEP^boI^AlxJkKg^)bwloK}K5*|B%$xZ`(|@@%va( z>N%z87xm08^7)bnNaI;`a%jp{wvV+}Joszlur{ebL@S%dE5G)6`*H07TfMFE8y8ap z*Dn9&b-PzjS!#vtJ9bpv+Hf)VO3$(?q&zwsg-v7rHYQ9wYHO{Z8e$l~3!wg59Cs z<>Pl4@OqWE+MU;0Jy1taJ$047j|6K5_H8+2C)lR1AT7@imEdv9OxcmMO)6WcKPVSS zXjpzaWszqK=FtLz#JBXGK0f})u}3n7y}>;u(pNs^wOo;u@|A-~IBU2fdnMYq#rD?} z#+s=w74D+uuvD={YiY{AXjO~32PBIy2N%Bpy&oxmJd$eipsyI8Q%6KUZ|l3yd`eCQ z<~_xeMv`0o4K{F4JDno0_?q~za)lf@ql@aWoY*T#+XsjtZ-GwNY$^s$ODaGw*^&B& zY^!WyFx8b!Nw*d(?nfdulWMmswc`f`y@!h|U1G~yOocQ&tXl4|VL4^=z7AqS%4{(L zHPR#_U+e1{MlXpK9;d4>+6Z4l;D(*Cwwyl5hF|eopyegD=mnq2rOdsFG0A$7_ZBYS zVQiiJ6xl@>^S(aItK&J|d6!)n@PXpN_p%9BHTi*XJeSzgrEDL-Gh(Q&P`iD+i|gf$ za%jo!rBnRdpE$xB*%%w5iJQXq|C(GexpqXiGfl6bAnkd^AT25?o?T*iAG#La=B&) zEb*MdTBcs5@ttt!t5@ zAsGR$Q(KO}_qxHb7;)wO*Xng%I~AWybRuISWR%t0wdz`TZTTLidp`BsC0|KBr5P62 zbX4pdYqynD;ZObpHjKRpt661(j!b#79R7R zvd-rReEW2*&w z<6@^WKDrH?MHoI0?k-eqgRJ^WG~~uWtG1E8nCeG@i>N^3jzkFb9B_gVGNV$=8DLFw zN}dCkLo#|CjaWlb`Wl+XAT@K#>SR^h0o8sa$>4n_E@YBYA^=)14wd-y(k3%S|zHaN;s#N6V_0_!0!{{ z_Mn^>#>k!OQOX*1vZjPJep6P-D5rCD=Ej%%sDcc}$_ObpCuNYG%UM}O*~-}}i)IJg zOeD??n1dwHueyIKkODbx4wOI`Ph!IRWj|UQ!ik;KGC4!5qA?L{h&=B-_t3KMIbnSf zQ|8)eo(;>$y({@xM7~xdYfWY~bQp%Xp!BB24M#W#4zw#^EQ6(;G4^Q@nx()yT4I1I zhOGPfjStVGVK&4|Y8z6#a`jxj1)QP~8!!7%{?NbYKQ7)B1EU z+^=hv)I9q+{X#o2^pQ-}(r?y*q}&CYQ(atN0On3r2Fgp4Z1|awsQZ%B?V-@BLqxr@=9fuobx3h&Dzbeb+|a zj=C3I>L%!2G8LR|rCSJG$11vfxM0s z)A$4(q!EN%Rba&S9Z{`kBZgd02woKBYsyE|NKU@lx>gCI0o(L?*&2+lAY@;b zlqG!8nmSrZtLUIm6`X1pucPug?OSB(<$L!5GjWPRIO6eq}i2)B~s1#vAzX0>v zCOULOHV@ENLmj5&89m7va&4;-kuyd!m2SvT8li?^h^LfTF4r(@=z92RVnS>KWPpI9uN>(RY!Mp^-LU3 zH+3%$?~*D@c4)T@)>eaMj_D*gK%48U=m7aViA=Ol=$%_m=~lmzgkl&r2It>2(LSwT z?Y<101X&oMi}7zoY(?y59f3(PQiXgIQ?XcOY-Q{jS!EZaK90sZ>p%4Q4yjdxU#ga&GXMJa7>#d*bjQOB7EAL0o@mkaCuw#{+ zl`C+isHPN5tsH%>?oOv z)ou;U#3+L4=3WS`ie)^4965&8>i)?=P`aO(@=3@SrmB^7hr6c2kyW&6@FblIgXMkT zKUY-!;q~eA$gAqE@Zq!TetpMXYlBeL2u{$B*j+Wlf%21Jz0_6(rYP?HeH1!36T9cV zm)^fUvNnM)wr;}5t;r9J+O6{4nStv**RVD?yZs@hf_N=r3)WFcJ1_QJ|Kgo=n&A01 zk4Ecad3}fu@95g4z5pV-xl6iGS9jQ)`ckBVgtLR)tEGnvADFL3kl;;v+ftHylubxv zGGRo;YI6N});E~U3$r!7?{$mk^&w%6kbF#8QPa4drdH*yJ?{BQpq`Y`@_t09E*6~L zIzhAAW(4FR&`UG2ex=Uyl`!7nZ$UD9i2k{N5LOb#(tp zX$6?ykkb9Png?Tz)5q$Y>y|wwf&0BJ`wI39Z@9H|^l)H8Ecumy9sj9h##;ApHC5^o zaphCbv`)>PGjPuwvDZZ`kU5_eq;E|d-C{`{YI5=kNQ4I@5ud8P3-|tt>0J; ziuO0=(yL7mlV$ezimSkMhvs9a6H@e!6FR&u0!PYp?nsEq`wsaH zlreC~cgUNO?|Scg@^VLn0<;+Ef+ivJTHaCQLv9N2gA!RCv)deP8;qm-$T<}g0R??C zl&9cTo)`9jc!V!}_yUwEe4%JRjSctSszPue!qPyrhB5&fBk?gzsO9ql@_-<_x5%op z4><--gX5@bsu|j#GZm7brT8Q_65AZhXj}YH`znPRt)6O0AKs+tltm}Q=wGTZebf=x zF(?K+eMqj6Y{ol4RliA(sH}ffX|t-s#)zaq@{4-K`KnRpf7Ux6fURjTLbVRn8X1-rt zWw%4%;5A)S*&db|4_NI9-IfrttjCq>q_7ZSTjPvsg6`ACp+vPSxa3Y{N3)Jc;fN2E|_ z4-KXuNfPL+y<7{Ov~}t7#wA7}VxNJa@y78hyn8{RwN+-+pQTt4L!=cLVMMTzXTS{s z0%&M3S3*NJYa>m(+I0z|QO%!L{k)GT^LBJ?7|}<5Jaa{yTLt7Sj1(c@KmMYol<*7^ z5wu{kmvO2*@9y0z1{d_QP#V!%ELCnJy&R@0mjbqVm%e&f0a5J2rymhtHd%mb^xxiL{>%Oeb zYnIbdsy)UxdAHb+B0=Uo_U%^W3fVueQ8`XEHP!O4wJB?Oa+cgA=gj^&@zS2!27+_fSw})oizB4hil7K>?-% z7RE^oMhIR;nK-qlzkMqRe!?7B1_~Tepd&0p7YsPXe^NJb1Ldp(S&7P=mdQ@!t-;~4 zQx3l+awE&0neTV#rRA)W&k8cQ+>GY$7u=nK)($akeSUXFCViwx<`ib}T+S7YS#h4h zJwhqkZ$G;TOEH~A>?K6gJZzj&&T!8Qr1c=%R}a{vx(J3ZZ@(Z0c`s)&SOUgjC;-z{E$5Ut zz0`Yr*Q66Ag%&XKsr#Zgp5Bf1e<>DHsT$tVtxMq*C68Q@&3OXR#VMsf7d z4mb-W0hPcoo_ScV1A0RRM}%sxjHMVZj6?l{xDdKP3sw+$=kDq7%_jEq(3KFPj$rQo zCH3hj6d&ZXk9Co$8t=wUGojGExxy;#&f*V5@n-Gr-xL?G6y}v6%&jbThqq!0wAR|i z&0i5VUw3zKTBzSuc<7|i8JJnJq>8={06RruI5~;c4=z!I+{%!pcPa?eQ<$Wa;Li)uz+rQf2T^bE-I1r;I+M{|>*`T299qjdW9ix(VOHWYp%w9g>L z2F?5#SzhVMZ76-yE%MAn1J`)4IvJv+(S_doJ3ETrFI%=P zonD{rxVzQ3x#LSk*9*%8C?98LYCT2wdS_&(s@gZN%YLc&et9ak&M8v_r_PHkGK@ zTGz1+>cF=lgG9DawGHaPx!*c)cJ9T2v%jm&_CR&w8`H!1eW8Bk`1E$N(nemjO#r4i zByr#Wb3XT6W>)96>U)|(5sL{C~Z zO`!*=#gJae3^;xP#-CC0f~!oi4^V631->{{!xSCI3=?4es7FlAiknwt=`_jMP##v8 zEF!jkK#&~>(~yDKc+5y1PVi$6f|Pyk?1{a34pDIVl!lYIT@Ye(MNKE>%Wq~xJBVt3 z8iTlmxiG=nOnkI}Su7r6<2+i7ACVW;G}FmewoI^Ba|z?wTXS-wtk#u}ZI?HgIpIaS zPFiEzbmV0c8P_%}=vSac*WGGwPqh}3t_56MLEdhqi1(;X`^9~>xlU~lw~gn@O(ABK z?F?UW{sHrqqIr@gA(~IoT@|8Yks{U-y9JjSZArl-EXNkKB5?qG?fC&K z>27)%ExB3`vfYKE)5MLEk*BS|ZF#TAoYV+^DG;d5#ttip{&<5{Aw=AfXNG zyAiQXLnn(O$dl0+vVe25i7sNZOq@s18h|B~p3ER0M>L7KNCzltvl+c@ER8iHn;)R= zVR9P&H|F*%ti6q-z(I&&pWG*4-PcG?DG-Tv<6MmWfB=cNq)Sfnng$;RTogzF(Qwe{ zQ|W^@`d#q9K_P@PA%zRsvLNu#uT5&AJSrm$pY>w_VVs7K(D2Xm@=Ti!FCk}B6JpeQ zR5tTGqrWz~Y)pk%wn&s1P=cRjC~d^_Uj#7fM-mMd8jPAR=P4nOhEdh#5Ny3F6EY-~ z+ed@0xMcH^&KUaIC;vu;@HT5mQl;XKaB5=0kU1ouV8t@x$Dx7&#lIrHiHt)5Apu}y z=2`0J!We$ zg#yzdcQ*{K7#G||Dk?MnK;}3?rgi4_y6-V@EMZ%I&ckKin8t%H{dC5Ec=669Jn9sU>tipBPXyOpT0Ss6t#bg_I$) z0K$zH3$wfnJtoBC7JASXXv(Ne+3Lu`lX;6n4(0^Q^hsfv)F?h#NIk2}tCW$_YN6nv ztR}P~HC$^cj8U3TOWy)P1vbGZbr+(Q5iWjO*Hh9|1b%=Eikdv0(&4}#eL%VJ!{_$F za_qMD2NnmKkl1&Xhc*iGQQ-DHHBhL4vh;$CwlHWk$>v#MOxD)Oo&hCnT1Ui~xP~S; zQ-#YHVgk*|c$>8#Rvu4rh#I^pRd`k%Xn!u6%gefDvc{TdB}5K19Nvg_N)FSt0ID!# zE7DqhaLGc&KS=sYPy<7OB%fOF)j~y^t3TwySysyT*ea7o` zfZGJ^Co9O-O-Ew;V`~#<6KBk`o^3_zHKc&pR(CO?-mHhu!j4(9cHPXNKZ^ zHb{%~iF4DHC{RqPjKBySQASY*W^XL@`Sugr@A)bRZ0m*DbX}-QHfL(dRv9@VN|i+~ zM4>Z0B`ht@G#(XaYMwiMY#_3RVw0lO&n&Ikx@pQweCEv3C^q6gX0jz~ki=s$F(WTs z<7u2JdgS?&q~w%wMw=0(wbn$KKpX^#cVXBzX0wsTm@*zZUjC}`Uhcyck+ow-)lAcg zu}a7%xg01>lT;vF}Guzh{r_*cb8u`Sr ziQ`8VWc^4SRnDT?YUcp{8Ba%aD!6n-%?k83lyKd2wCFRQHA~hk`T6V9YNT;MY!+9D ztIXu*tX^|4R*y!jR18f6d*@Mo;`ZrEr&N)N)N}-IGIr(iW9dTgxff?|Z#?|>uBybS zb{LDX8ehq`OV0?)N*>(y!1^cS9J*Y1=JCXhqx(mvekWIE zk4>A~j{Oow(nU{gQ>M;1vS&-@aOewrojexxijzZl>MTSu9{iJx8r0G1v0Gp&8!3DQ zS07Ithw9ncGxVy7+o3bO8{J$xftT#7wOc)>nqWmefegKl+Qs*$*3YS3ymRZe8{fHg z#!VoawcqV%gwXKX^S_#DE>s=+aHCq; z`yblwv41I@RePVzo*4VCcXs0Q{xL!kOkOFD)Dmc6yn{RwVpB{Ef7yOAuvV2h6$|ym z8C}TgB*Z%+8oPDp<1lG99lZ?Fs7Xcv$JdM~aeQCWn(9-v2WaDWe3L33q;j48&+onkYE@5Vo z+}6}Fg>eW9h3{6OawAt`Q$w}OIWMG%avl zMSQ#@?2G{ucbNt(_T%6lw*$RY^= zAg^6Eed|;m_M3mbB9HsQln;wd9N2|X%#;IN8C)2jpt6r?V(wj^%wTe4Y`^e0KE_gJVh^Fc(!<8qO$V^;wZSh)AGv+XPcM*QO^`hPYVix8bY2I!t&*kZlEEq8j@iowY88(r84KHifmALppOBUQ6s>GYwUnf zr|-1+Ov9}G{lJ4l5+2T8{OU|yPzBmo$Dfv2tRW;*>!Z{^2K*}aP!4OVxQhZnBv2HZ z=fZroPm@pEY@u=Xw~;&#lM?KYdCP|BlQMq3P0A}QAfqbnLtke6kWA)%qAFR2odUSf z7~^0btPedt{(~IW24)GT5D%P&Qb-nn>$Nx>vU^$x2F7%s;AbVHg!KyoyHErdg=J){ zD^U4j9P0?2`ohQM_@F#za`X8B%c(76}8hLaA32;S-ZuL`jf}G>s)nLeo%S zlp+sZY(E#j94rZzdF2X+9ibTUaayLe=wbZ@N0$gvUxEgE6G#QH? z(_J>?s!ch?T-Xs{sEV|^hEYCh$nHd9yTA*~onk`P3|%vD3qt&qm=)Yn0;90X1h4>) z&u2_gF&R?rBSD+b^Y6r#A!!{WifW^-bIJwe`7*OQ7WRo2n5^^tw9(Q3fKx=s=}Xu+ z_;)R;Y1kubvx-|P*Be!kI5-*V~-h zi&W&`;BYpvm3T&lW^t-}dgvg~@t2&{OQ27eOnyp0>SiwWILr_}=Ujcw9J;@jH({!PYi`uvupd+#5gMRnzm-x&rvP*$IrOp?hXkeSI4CL!h*SOU86%w!TKArJ_tk?m@N zxQ(`5`PGlqy6enj0+SF339&|MOA=xYiXuoYR=YldU}Lq5pxd=ScFV8s(yprsM8!(m z@B2=`AK%@N_WSz&>m+$H&&>UK=FYkAd(OG%ET3{pJU@Lpp4*Khs(#D5>F;Ev&KeN! z{?7}=a~23ADMk0IPp7?iYgIW-Hf!Pff})Y4tiMf9hy3>+gv|99(u?n3zP9MS6e=_Q z((L)yQL${%w~DHTb(vK(OV(|rr__;o+SjK=9?Otw-u%1k*U$S$t>*XBsdoO6p`3?0 z3^jNh&D@tMERzuLrH%SHE8SQ*Q1Lv#-^tnT3Bs zKC$Jz*0M$2qQRJ(hTC&Oz`!M92^>%t&8gT}aKk zzaC~41-Gx0F3d^KM6R^V+s`k^DO+}9=DMfTLG!Pix;DP&crR`0sSER`6=a=wvgWb7 zsZU>hhQC>Sus1*Vam{Nw*Sllh6YCzmc|Jewd;?J zcr=7>@mExY=1rgT{0w!$Tek@5FHZI5yf-Zh%{wpq>%ZDP@Vf}se(PV6^bVL404NLR z&%pN^oc{iEMAS^Fa&v^jaOh)hIrFZvj3o%m+Tb@Re(; z=dSgGHHxo8fewY_`oGxtZ?=0@4xi?ZF5cQ?)U zt@Tm1)`Io--t*R~59XJ(od%d~J56J*;p|RWBW!#x*Qnn3!(0esU)Xd$xcCPcXuoVo$Iw{LO$gSZl)M zd96V1P=P$t21TJvH<{Q#8Wi?TtS73aCJzM~4^Z6flRLa7=c)U}`Zb)R>c+KcK@|uimZMBoIx~1jE`~cyFyctcbv2 zY8I2+x}%x#09}^EZRpw4Rjphb0B{zx<|1ReAc-;fTT)8dt#G^lYH%195)830nWU!5&Iw^GM<*i6g#YH+tuxA3|eXq zmh`X3P_#xvnoDkixBQ`#5U6Eg9HLO^k_bjUi7uN*1-VgHu>hnyU-MG-pF}tjX ze1Q`)O?`Z7rCwZYcU#kRh%dLtt5`^q%~FdqAtOsTeX6IClc%ryw^nqGfk@J0pDJgJ z*aj0|ZB225O1wH1)&~Y_K|(8A*@y#|Eoajh!_#s#rLkSI6EHKe_=;k~Rw2&Sq(A@L zByF~20nb6`t51R%qUV>NrS1xem93lS0qzo-(naQh+-Obf($92e_%QC`bcV-MgSBq+rjB z)!M|v+mOwq@~E6C1X>ds85u6+_KPc;gjAkr7~X((37sLDV(A`hk#14?`&1W8CA@zD z)hu^o8K5aFN=mA55;zlIQ{@BDs)Sfrq6KU=U!1FXEXnq9!DXROH}_sY2QIiUc;Wbw zO-u~x{5p7+j6u#gu}-WjC0Z(ih%j}cMDnR&0@{t9AjktP4xZTdjV35MmWh|(V<=hl z8reh!bmg7&z97yHiUC3u7HcfoX!bmn8X7@?tTN(soe_UsG=pHVhQN?^DkHePmf-rZ zZkX7PsF7&Vlo~nE5(ADT?S;&b647Hd^eSfbg0`T?nvGW_`035!YC(+rcs18RtSr>~ zDaAs9U)m1UIvV?cC-6(?0OwiNiLJ?4U{A_jfwlK1hKS7op<=z*VtxkU-C8y zLqdD%Ego6wC5_zIVJUv6pY1HmAQ{DaMva^z)|!rn=Z8hkmUTI&wGI`eQ6QWzM5r6X z?JWjTq@`%R{HQsck&)RB_4W_Wc;+5?v(WU03|ZJhYbmS|g)Q25?EL<85kB$f3Yj}D zs5$vL3ucYP#nhLKe07(&%8#$l>x0x$DA~2+>7olc>X!0d(mxs(RrR@93px&z^gt5J zxV>6#?<~j(*O&FpGUhe*&dNUL$NQs;^VU;K%BNI-4cci4f;q8}; zGMX-`@PAfpOHIzRIp>Y~yAJ345RF-1G@=@r{(1HK7mC)VENjo~IG>|J72Q5ESFh-q zGry>9$AzM~Z7CN@)@G)T-l@*%*j0RH)_J33-f3-?h{u)NTRiWy1zBk|#ND|)S-HEj zD2mf|YdFh2qn^|3xj{@M6XBbvFW3 zP^l{}pDCL6HxIv`A?)f|EBq1lw9R^RSwHe?>I!&~$UQBH*7>=8BRJKC;}GmY;pGryCa*>S?VaQ*fB8h01P zFNk+=v??e&cNu74f+{DD*drB1CM*j1ALrZG#; zd~*Htk%4QB%(s3gK!!Ty-f!*m_mv(Vc(nA|BfAkf;z<3=!nXCl*?cPZ>DeQv=9OMJ z6&Ew#`XWlDF(wL1dyhX_`o?Z=!L%vY)mM$g&0VWT;uX8Bk@%gzxR6;vBldzwK}z#^ z1Yzqu-+E1Y(DsLW%=h~jz|eHwkLNVy@7+g*qc7ki*w_B;C*E#9m~*~$`L0rA+L8r{ z>RRL2p|#5{_TQiWK-;|+Dw+${f9r3~S%8jht3H4*mO2oo-hdApsHzRQmLu0*>P%* z)x$uOQlcmxrZ>ArxJ-sTk`QO6DY;^;N4%!Bn8A`@+2FL2)|n8xR4;FWYa!6B&w@ky zDztwj(UXRGajLLy<|F~(Sks&msJ;mF@F)d)9ow@fOC+VnfpEu2K$E!Cn_}_#78qj; z+f-n@3OhXTOIII9$h2F|e9>xlJk{on3?ZC|m~J%*$1ql4&T{$YfDP=}S;3q*BcOYZ z7D=0ULaaq{R<^A1y((bAR<#>DHMH%5RabWRGu-Ad&Pg*uF>%~cS<~2VZg;SCkrbA! z5uv9~*lg^qCU9Ds!vdRCI=zrblI;-RRq*bFK_c2in&8V|DGfKwb;m<;)R^k+7KJK9 zJ#0!#oCIGOHgXSZ$JP2!7Yp;$z#Imm4(s(s!Dn03xN5zUPgqcgIqp`6U!#L z?XxR+zfLXht9*Ow)te!@3iN>39wwe<6Y!e~Ssb|8*V%L*U%Fs-25n`(1Dv_dzznty zlNJo=UYWlQ-f01^i3Bf&Mjb3-D|L;>PE(IqC#Cv8BTk}UP>I6(8i{izv1|gL%DvY@ zzGxWmJNeY?FKCv+35qpnIgfSdhFHm}MtRr(0#+UnIzy5H&8^m9YL&%uC9@JrHy8`1 z@O7#mJf-XXaUZb&e9v(C8q+7O1NZK}%Cs~B<*KVqFqHaDE~luh(@vUYlXrXcgt4hU zP&gvGyzI(4=Q+M&2JKcOG@x*-?xNd+g*|IRO9AdzNH^C*auhr#3JUN1jNVlY+x-f)sV^>J*1Qglh=?x{oYb)Th z5L8Ry5-5x2u<)aJUUZIjqbi~p1K-ZNI>3HfieX$XaH1syJdEOtjRa^a(S5WXpcg(% z;U$%{K(>4HPB>5YS%(fHqDbgLtiku()qzK`tjoYSIbbSj4KBon=w%Mg8si==dWCMl zCf&BeO5HXk11$jURTwn98!%EXp_eFS&_e9|4PAct-s?a-2f4(;s6tLuIJ#vEpOmij zz}jk0*wJDj)*8xk`ED^JRT<+T1V=g0S44?gQ4eR~A*mcVg(X{}(|w$d4O$eQPL%2= zQ}G4KJtcq|Ai&xJTm-uXMZ8k3$2krtfybh7l}PS_r%<|rG*jjrLw^)5DR+y7f@6Uk z5GT+%$-xzz!`c*;q2 zup5*l9Se_o3S`M=?-|igntrum1t5Qw?Gv zujO(MT_eVWLD{R~T-(6tLPN)+3vLji-ys1TK(EBmQNqxZp{h@Zo7#PkjI3PwvR&C{ z2V0iiTCyc2&XjL-4mo}H)QZf`=dD2N6TGqk z+*o>Lqfza`lFx0PQDE1!4z!j+G_($WvlrhD3b zKbe-oIBk9JtX#RTsn4#|Ul03Mv~Bk5JqI!_$EjQ`eb@YsU6Z?85H|-~YiqVPRP<5t zms4ALhrL*;_Plo-M~<%+b_voRyV7gw`N~_Tv-X&koK^eFJ*hkQY;D(ky(=KO; zW3@bT^r!vdwvL$@J6rhS-(H)z=%Cv_&;9{A8KBhK+P0?mzKI=o@JwJ=j+)IcJaEvV z{VqS`ob@hzxps?fErDdKQXjo>O0}t;3TR*k+nM^(90C90J*n|W-@|q*+o}wL{;Bbi zWnDWNEB?gaR_+ZSFWX{wSN-ydu;rpyyh!j#UP;cY;i$86pFUdS(4KE_E;=$guQJVN zgFd_b_(P)=jzzoP7W7GRki)VzqnVG`w?cisU-Cxf+Le8_v`ZQ_;ke+D8{<9q{Apd5 z{s^k?YqeT^^$x%+dOn&Xz3yFcsAL{p32F4L1Hq+veM3|ZcgU=wdv5*%+U3oI1;R5`=OH5t zD5}{^v5*Q>Q-S?6-!Ng-Sm8W*6WytE5C95kM;m@kKM;W783bS$%e584yZ!R{qbqj> z7Y1w6gFoF2==JyS01GYrhvnzW|A?yo?$7urzXSkv768h5H+XH!^y-plRR zVmsfq=q{eBDe&0L$Ovo;hq_pCr>w^NJZw7fj|^U(^*e*X+ef$jyjk3de5MDM1D-S< z3`60F2i{B>De{nj;8mqR^ceKP=X`75eOI^e;9MDCg$mwh==YE-fdCL-p*W+Na5k0) z%S`aYq&fBHwNYS z2?CNV$_Hze8Th1TN;hG0wPYX^&4(00m?&tD=KG4LmYc1-;!P|xTO`IJ+x_h#35SoY zP>{Y3CxXBrALMQTmQz|DrUTHtS=jS`e!Hd%XVYa&--d?);m47F0OAgMu3j;k3Oz09(XjR z?c5KhpEcUeHY7e*@~gZ*YGsY}*0;}3r>R%wmmM>XAWXto;^o_FG7pc2V#a7#d~@*6 zvlbuT{Mt-8`=CEk-wF$iY1{5NW}FhGb2ZP$Pe}L8JDYckrgl)YeePoMdsDAj+)yAC zSIrO_M~ln6IWNz=_O?FAeP60SW?=1d;f`>InI2S~ABbm<4qU%@s6aSSwNc#fTr4hY z-Z<+?ByPT(xcIfT+J1^YBRbQHLi*8-r2NbOjgB@; zP&96#m8F4-xOHe&I2k4eyvvp}jw5{libx6+<0u?8+H6D$VqVUT8!8KQ;)|dvIt6fk z{VcJ~^%N)`Od3k`I;R-DbtwOJHQ(GNG1^Ic`nF=D=0Gr18A?-)#3`hj& ztq2lDX<)?+k*3Yf0M)Q$B<6t2#W_4zi$E)mCrq6Y;J}Vb7iY-jhusF5 z$Mz~dBzk#se~(8-SuaWg_H#V&M-U(Zf>TQ4KojwRLh2z1K^7)rkrEC!l|am=b9Bt2 zCuk)-!6`KZppT6nvIu6(i-4HDq90cqWYSu4KdCdOIZ0;?TnJ@zPdNilP{}!seKvOL zksf4)Q4l2yiegc1zNDGH+tcOb&UQ3}7g5H=`Tmn%;^aaHfZMXH1R$IxqTi0ZT?Ruw z8Gs?8MYIj>M4&u{X@QWi#p^);KTI9nGhHURVtsS4!f*^AW7(rKi05pPVqw7KK_1G} zhwNhg0PpM_Xote!#m2JFWe*wsZn(p9FMj2Bbxmn+Ja$Qzk6x^mH^W$XtK9nD4SLG= zO{W#`DXss;a7NJBS0=a8?cv_>+?2_IM^WRza(MTlU=mLQB*i@VwB2Wuc>n$%tu5Qz zzO$#j#XHyfAO3u;r9Wfmo^YPpd(EPZ5@TES8Mu#n$Ekee4S*%5X`?gbG`_R(hDBPd z2Nc-m9dv%+yiKmLYn{NuS#~z!XA^bO*4~h8F-PLkx z(Q{Iduyc>Fv;Vmty-+LlYmYsC{k+{dB^_NmjjVgOO_)}@1ckqrzdG1rPpfTeXqr~C zYtsc?l53^`BsLunzZvgx%Hg0UXdEc{?WBa<0A#jdv2(eXtsCQXKx`{sECNuw{oc-z zWrr44?wq)Dk2>$a+MccHG;$HtVdi6VA3GrJ$w1#bO3J#nl(jXDI`NsQZB5hPacZ}u zZjss=PnQ-;aiH9-a$~(0*MkjCzge?Y)*tmQ_i?hhGz5U8!!XR+Pdn)D>6#`jdM=*R zyeFeu+wymR4_6m0Jzg$jKL!G6Ag(F%r)>pREV+5>6|6{6;0!PQ#WCYSYGe5I0V+s~Yz+U+*j8M6 zF|t}z5s0gxX#>hz4&fY7pk5J0;(GtcF^cFmRuvSD)>c8W`sIeZh0)rLuorA7sovOL za@*c_DoU!25wn)=ymsi8?Mw551x;rm3R~887_n8`4%0m@z-P_p2bWU_nGW$=d>2lD z1D{Nze-u0h0FT%~12k8V@eV%5z~dI|*#2?X`QX6_aW3meO>4t{x+#5AKFxIC;DV-e zl|K^y*xxtvcw6PO;y<23LB<}MarwxxaT-dQ$$-g}k=JAax-ak19u>&Ebu}nNg73^7zVe(6$v>b~M^O(@Z z%Z(vyWW%$IC^chjWlAFjcN99nKMt|;C=*j{%9GfnEYsM9V2D`ZW5Y`Y>tkK%B1=*? zVga^kKzTZ+DqLgng&9^5>kEid?}e3YreXL#!A=p7fyAoPr2AQ1F&MVpx(@m#TYUc|ocz)b!<3 zbF=o^Esh|)Ds%9zb4X@dne9Oc*&KgT@GQa2Ob7~| zY9tu(T@_S?(t{tiH=|S)6N{|?dAc@V>2p*YU7>S25$9>+V|YPPUZJB>L4=E$5rQy3 zftXgloO-Hn!QCh_#+yjua!JhaflB9amor3VykbDCf?7RP{Ub(>z!nr^N;8vr!zAQT zp?|^D&jZ7-RQTaa98}Q?+JYvdj6BS9bTlCe!YGBj^ZcxvU2Md$tt~nLHt1*t z&~dRXJ!Sb8#%c5df$z4J0mz-{ld(f4aG5mTLn_7AnX&2;zA@2d?Lo7rFhK(QHb5JCU;%OYKyUDJ1nBHIEkk9JXK(*v!a|E7fTIfyNdC~RS|XS8 zMv{7pYYM^)pH(@sE_`DG=n09p&4|x%dNd)@4`fS-%^&Zm`z1Hc2vV#F0SOKhM zYbi`4A@x+FOoZ6SRYPV1G=p^k%H8hZ;aC(C)5ApZ5%NP-@p@mVH1E0QFm64>5hW3CqY<9TpwH za3BecBL?Ug3($L}1J(-!LbVpv7qB5~Tgvh#?7rd~z1bMW7pj$vc{k z%JFt*i5x%Z$Unl?v?Y)uHNElJ>*2doY8%gb?@$!9)j2xbq}L%%YU*-YpRYXo$_gN` znHf1LT;O%J^m^%Sau{#!O^NsTS(>ruNBKSRKWJi0MxUB{YTcKlm~+BE<+az^t>2Kk zfMw#0cvF{ivMKeDqj%OeJ^(27PHlW&(@1S?9Dfi9tq3;{ngNn-jsCVmE*BiM_e(0X zts_liNLx5j+xeIfhFv@Nbj7jZ<*~=+=JxvYi)YGY-j*|^R|QL)_=Q_nws`}!)1`!g{m+(>?=oD~kn2NV}+NYecc7zkTPPXS38^EsXcaTONP*ZnaakBPiqX^nPDd<1rm%Lq<-&*Dhp zMJ>;m%(v&l`0Db8ihZRWFH(uul;S_VG8#AAj$Hm&d(3-xT3MU-UhDF$CDkPq>Wl#D z?0Kg`e)84_gC}p@1=KP)r>PCaqg~fm=}#m zPzHQ-3i!xutE9Pb7U82UsO1Opg}&Fe>_=70fU3T^_S{|XuQej9Q%tc=>2ud+>&?vB)?swv2gzr@+B3T-VQ|exPXL7w7>(}1uq(7f4liz_RP2z_ z)duBRjt1oz7=oXNU{c8dlCT)0?O_IkNFmYTSWBIx zA2B@*2{0Rng<_cC6dwNP%zh6mx!Z-Qt4mYFiE+s{hkP)cguyDa4f|D-+f?5e=n^Sy zr^=n_HD#94p_&LZZeX9I%ejo=PxV=oG+KQ{4G8nb<&1prdSQ5N2s5>toYet%WNZo+ zQi(h)4MW{DIBFzBC!q?#;8hjC%#=5Aa%{4!Y~t+%6Z84MJ^Wg#Rinl^{bWEF^FRSl zIXdg4&JL%+xW+5TGOksC1(43d`GTm{G#%*(;1bbdU*YVe)NO9>s*iW*L`w_WvC|-F zRke%nRo8_vK8^3O1GzUutoN3zP9cFdsk!V3WZ0dgVqvL@ZjMAlLA4oqhZE35B)W9l;_=8=Sb% zF6UM$wjs(L;dZP63j^xI)^u)KZI?T?VTD~G7>pMS0!SheXp%12VGL#~A#>lH2Leyb zhOjab=w`r?B~^gH(8-8FB3uz{x9u1g*j8f>7Zf_p{er^7m@wXC^m;zAeM}QM%TOfw z&4XWXsYrlAFYMtAG|qwgQ!_Y>raG(6wqk)4usHWx8QW4OlSDt-jVBiHM)HaM$R?8@ z+8FDUaj9H07u+fFL9&XJg#u?`mMe6Om<>OhB=8bCgY5th8wpzmfQ2ZE3@@GsK?U$I zh9)SQ!IeXUng|Ecm{!gPB6PXUwhhT9AUvK<5>7rK%%ql+CN>0Ln(|keKViQ}Cp98j zQ|&@K#x8`|U@%r2ylOLW>0Ia}V%z|521HC;V0uP^^U`Ds*~F9cxI}0-1OeHM zKLugu%cUyBY9roKsDaQj8*&n7pboELz!3ZBkZcx8r4Xs6@c~ALJF&|h_z^sp>|y8x zicth;2vpz}RT0z)gGQSNF)SNif~W`vFBb#ff^=XdSdk3h{ev|QuLg)K9#Enh=srcb zq`U!}7oo1Ux7()Ya-NysK|-Ud7z+@9JgjwSmv2bj#x>J1YJ+MG!HS2u1ZOX80AZa{ z4#jac?5Mcdkc4(sFayLKG-)Mp$H;ek;=b1=AuE0CPOQt0@kav5>9_U4GTLX1;YQBY zrO9O>pWtmZ?qK6C-qJ$ZmjT;cW}Aw*qf|P?dBnpjW06V>LbuPRuM&<*GysR$7%~77 zVSfm6;nhG`$!l%}Nfk(laGQ=i{C9OQCUgq5q9}lB5_Cf@O)~)FDh8iiab{9N+BRa$HgUwOoNYHsa#e#T+6g|X+ne+~ zUfMxfHgdRbyq7XwL$d83vX%fn5ddF21vjvr)wXR{t#IJwF#yVHmRdJBJf7iTw+-j9 zmHGt~YYeGgLJUIJIj!Nv_{VBfEsUgK$@S)TX!c;pa$Jq zb(?h1zPQq98$4Jw+iqQLFDl!ozXvVgsBLexUpZ(?8!HCwMaX#8K5HMWdOf%ZY&Tlv zEDVCGa}~!Oi!WQ@v<N5+9hwO+rljw+q$-N!L?$^B3V4y zKX+T9vqZe9)^Fs>AyXP{$jcRjvw?KZ9;|riU=`|wK*zaQh0Ot@RX06uuLRWDVjr^) zI-SnKK|64c4W7l%L2&)W&SD$-zlA~BrLgme;M5ww{dPxi?5B5`-;);yN6)_0pV9n; z4WHU=;Y9?MHqNR0eGlTF9{JWEC(Z@08|S|qFFzM_I!z6Mk@?~(D+xFq92u<|eBn51 zJr=zF%BWNIvi-7sEO@VcW%EG?oh}`%vNzig4w_|@8n{p$ygqoJh?Ac=)q}4)(!;H7 z;Q>jIYoGjj%Q5p}my|j9+`&WAMM-+;{jSzF?_BWXmC{hyc*;A})g!lDNb`5%U;W6_ zM}{cMeSF2E0C~`SZg3icE!rXtN=CcHdD;Hi;>^K=w8F)9`G%@dXGuZR!CExQL8fDa zs|U-ctgvx8YAss-lfkX_;;3;O9O+VPYwKEBt__2q1#K1Q2KM)TAO+Kc>WYWtYVVx) z-xyI%8^eoX*w(y9?)siT^Pv{+cNvHNJU$Xvy*>utXw3MVw^maPBgI?Z=&A2rZ$^Nt z^1gK+4+pRQ4`CJ6MBcZ)^}gbdB|9#nyno$#+L#rL3BUZzxBeFZmuC!C?StYGAMZH$ zc((W}&4IUvpZK zF)aXi!T}4WnApT}F2Q19Zh8c6AW|@q603=8Wk{f6SqWG54%uoGSRyqSiONXx+4Z(n zK^0tOT)HYQQk_QDdCc35l)Aap;}aUmwBiJKhEH@Cfb zweu3@GDWwrqrhAmsBWj-WO6H6OglR4%K@!BZ(?ZC0s0T9EA-IZK-eB!Rne$r*HM`>R-k__SAkm~6#|@#Q&N0elTq0(^kOv5AUF z7Y)F-0cZP2X&cic7-UVkW;ND2rX_Dx`bDweK|4xXW|QJiY~b<2|y8ijd7-s6n6;JZxE%$@t_I}JM#S8C&s#aB_Znj-;M-BUU+9bl^cI} zpp>x#ty3++TRZukyLRTB{*qqgd0(K(*M>kjI-?Uv&@-3hF)=sF7`+Hy?ihgbYW zPq2E3jI?=USnooIhJ^0^^cQIask8#n^f6 zX=6=#)drfdmIB9}Y?zxyi?g*-afRK)n~Tc}Y@I8!ZEmqt+7q@o(&+JZ`gFJ!c}+KK zG*u@z2R0$ygD<24&!Wx~+2|-@eWUy(gLgNrsIqO-4yzrwRffMUyJB5ggJqg!Uv{Sd zhyDCbD^RCtBZy3Ui%~1=y}m0Zt`jx5JZ4qAduS>wned7v1|>ZU<>Iib4%!>4s%&Y6 zU3M@S60;cFj=_DkZAsFKS5{vOIJl4&TDAhyz|Q^ol7Dngk)j}lf8AA&n*H~RK zM~XlImGwZe^%<$oO9P+KYXNpF2U=h<-zxfqsArIhdd{xD6~2czC+s8)cV_wA%m?f_skU zV<+Qie{gpZRcgU!26SMTeP)c|ijlIsV{o)_srROv2lGFc$wd0EE^DiV3*svH%y{m! z?(0eTW|P>v&HgWTsZg^nkH0-VJarQfHBRe2;PU;9DksU4u<9vC*IXvO83;lPj`79m|C`+NXSy z^3By6-bQTP*D-NO9NM7p8c7`gmpP}^G;a{Q%H5t#ivCy*tSC~>iky?%poK!N5rl(% zaeY#y7V;~b57hJ3ja;gJ8Xlx_a&>3%$= zUh^f_A4jLhIw`^Wo(gGzffY6|4wz<)LupDeQGUk_dP=Szst32(n5MRf=+Z;pqzQpA8&nDfvsdO2od}t_VwGu>O zVq%;zrT7n+91jCCfhZKXZ$(i+X zkigjWV>$!zg-&lOMXEL2?wnw!6rs(_Rw$W5h{nv3M8kZ9{NWI zJ@sjVL%)nYOgNZ*s1!hsUd2s9w$heIUr_`kjyPPj68&;fPfX(p`OP3Stq?GxKHsDL zhz>N71eecUhz+lx8}jQS=pZrhmUksYKm}AQdN<4~Vtg1rU&2KB8uikvF;$)p+G5SkIgPK`84$Q4#Iv zBcNr`Kb(IoHTX<&+U*nRB{?Y(M};E=6i!=08ez9Gn$Q0Bqil^?1*+g6ZSqOeUuNA+=k8KRhwsAwi((t)IQY z3{}q_<=lKD3^O(Mr5WaEtZyL6VUB?Jx@%FwY2UB$79)fV#|7Nw-kNRGd<; ztC7Viqga9IOq@PEl%brmU`xU%u+6DmsdL?ea!TDVMn%R|25$5?(iG|#f9%XIT!5nw z;_i@OOeM?dN2f{Cdu_}HLj{=nMKP}S6jk(~U7{SnP%keu;jv4F`etxu8MRcG<0rCJ zPxY8#GcOw@9&&B~dGx&gxr+pn{68)v_a>s%$RdIobXb)=a8P{E*#I1Yym8O-R1}?i z1pZ3!Uu^SW(~N#~3vdC8-iQR!2)qK+E-ZEc13WSEkKc_PzLuVcMayyVOPA;KYGXM} z9MfUY@;?k!s57inV}>dpQ}F~JU;0DEGy7x7egm75PpX0A{$CXUzIV1zMmx!VZZPUyR=H z+smpNM$8P(QdJF!(}|zHzbR)eM#cixbm($lVLrSOL4q~r5Z1qux(B11s(W6!Zhq(| zAylVtpv&aqGPWWp^ks?$zcLQ*s~fS7XzIp>+t@PUg;{?UaT45v;Fm=fmvbQijEJ=>bX zP1($>33}yLZi$cRVN?xNRbp7AFzB_EAv25r{)m4Y7y*uMnWSWR+)~fgnS38DqE%uU z#Uz`IH33}?`1mT5Ld*bk2h6J_*R3M0tkQ$cDJSAGJEea>Na2W3VGbgSfLF<11ILk? zVtJMjmJgW8Wq!A}&{12MP5h#OR6$>22D!fm<}yns9j-IFmw4Cz4dv@sR%kl{ouQov z|Bz2MFhJ?pJqLCoO)yEs07KepvuZ&q{U04@bi|y9rBh;iXtRC!7XB$F0ul^AzlvDc zWUg-FDohqSEfmqrWlMpI1#AYzG;q6#MT!IAzDz8vjALAvZ4w(&YR>A?QwM&2JLj4q ztUhAgbTC7@)Qwh3?RH|&C(v)%PILh?5vqrfA3X6X-(-jXsm(?DN-VU|B^-|UEqkxYNZ4C_QX(Py!HKY7QH}L^2h4mrgXuLnq4Z_@G}z(4dN;vuQ#K81xZ` zj!{hBoO@iQ&st7&US1+&&tNopV*a${01tqQB#_)hBcr)CU}DUlg{vqTAmXBLNE#Kd zxELL@Sh{#8F$wa+gvLe}F0UDckTBmb|m{iv~DHho*wbqylN3BxVTo*B4c5z+##F2|_X>cUsY4H`n9$WC zahg`4;$Y<41~D^w^=5U`13`~nQ6$ArnadDj6YFk?OCPk&guNoDD2ZcbF4+ak+BH%( z_}2QXmuhhLg{5+QK$0=_ibV3Drlzp~;EazEaoNPkv*WXC%W7>I-ttzg#_%q~TY}Mq zCB28s#4H<3Td0zycH8Nzrdx-#dUd*G`QF-PuV5%9n`a0fLXLT-)Yo*(ymThUeq>3a z)4fnJvSRR2a{whsi{SsYq9z*@D6==15cu0@>*64~YfDn6R;@BiQx~VML*ZhLrmJd> zr|y^F8kfXw@qooVW~PwiF{q&4TqG!Tny=VkWAhALXWD2ipgg6 z2Ve(#?##fB9V`CF5`WCTBDi^M*1o*PUu*s@ivCjyzwD*d90MPv^rQae{n&>jkHLeD z6duGgn=S-m=FwAkedESU{n3KG^PZ#gJF&_){?}Fha;iPRDnD|kc^6!2j=9s^NL3DL zHVi+~EIQdot9)bThpT*1i7YdC0MRU1sA9-a5kBUcD=?sxS>$EG8Rm8gKRRZ24DrYn zCSI0`67eG`z^nTgEvz`~Xwm`0F<4y2MIDT7YVVndZG~Y;rv>N!QMK_pk?2vyU&iM{SO1UhU z6N0h0ED#XZ%cLI$q6%*-6Ouk%(qfLo+{%$v344`K$kbIwxM-P27n3d&*vP2xXd=F% zya>ABpMn)P{o+6M14uD80!zVW)1S^cZVPMF+5Rdcbj;9&8o$#fu5;G!^Bqt@y;fOa_$2BpU$i0aI`!p~HK8z{a=)R#6I`Hf7`}9JcfMM_@~Y4uq6K zIB*L3;LtD!;-A8~uz1BubXD8;N2oVscf#0LY$XCkXfn-5aSgN#B>;INQ3#rli|ue< zDxFBy=zS2p@WX0_M}@7!9b%X@jyP*{SeoE*--)XsqNvczjKYQsEdwUB{M3r(by%#B zf*h|z)Ld+#4Jt)0^Ixwb#z`wc!~FaRA)hpQ(At&>`6${wYDuV&((y8OC|vYF$FAZMZnz*FJ$8L? zH7z_Uj=FYdx^;S4Q?%$BD$C;`L!v{69wt!^FF%D77q_E}&RmoP5@HC^G#YZ~5J+Ch zLe-jUehDr`>p&s^S+qE$Css^i_EtG=0m-{t#7oYyv+1T~u#aUmx0-a#qLdSL(B7qiB6Lm&qM5QPw=;RY0 zt3N8|N`kRMKm1HC$-2@-+BljQ%n#+@uiL)T1P$Nl<8uvu_>SBUGyl(%kJoakcmooE z;SvMl(y{)~CgX5*+FAM~*Jn``&4%vW4WnTKVFwcUv`XxwL06-G8pbO0wcEz6{*b1p z&!F43qWea&@wEx~{?P$a(|YLzpEg{(d@D*c{EL4ZHY;{@Zm7U`z1Y!FkTaK#efVnB z=}VE>Ia*QiV1O1C;Y@RyW_MjrbM6Mo*v&midMl>7_=eLf~XgFv6Z-JedN z&sor?)8}(${u%TkpR=IPpwQ5Iojn;tg4qI~j{T4%G z4XcvXEC=3Hu|1IU;ZIc23_jP_zQ0<_o#4WiXddU2Z8K)IaB>ZS@;cN)Do6Hp4LdWH z$<|N^!J!et0feD&tKcrw@g3JNcny9fG`N%|S_2h0yRG`(aQJo=Zjm9Sj(dhd{iqWS zZZzO!lXH~T021ha$S*bAnV`Yv#bLh%s#U|+6xP*z4uXD!dh^4)FSszWf$<&h=0bLlfb`bgpF*fzofi0m5o2#>yqXa=11pM&JZz<6BC`{PxL#03qwyYu2 zg5pCIM^-to%2oOT>cq|#{JjN>;+d%H}H7Jw< z-XDIwRzeH`ofK-QoCtO+B&s-)wgNjEJC(H)rY+S@hea_{i1>phq|MXqfD=5JVR$6@ zz1OauuGUaT+zzaM1e>>_?AvXZF$v=Bt%iEm4iM&<6PHS{PAaTUWZM#x>c9|*sDHm7 zY9J9xOf;4r5$Vwa(8lFJeVjUoy`jKj2{zYA;3TIW3DoajXTh_GW!#0qmgnd94q}vQ zPxiI={l?Ic()yU+;D;^#Rh9h>$|mjmj&@gn&@j}(Jr)=(T0S54_G?4XY^=)dHy#UG zTaCSyLkniW=P29-b$5bpxw;QKa$gT&YHW3H>eits&Yi5mbqlM6xdCtaEjm2*VW1nh z20Lh1(+!@KtrQ<5E?R{RQ4N6U}$I{igQ`3+j2EF zL{DBQo)FilZCEb++WEx6P~=vHZofs7VQ?8lnPh2bE*-*bO8^478oGk9mm6?o)4cTw z4MxD49@$z`11t#!ym)MQ>JnV33RLA!4Z<+A2=)b1gRdB0h&Z)Z(*SpP{yc#-U!=Z* z;=2Ow8+1kqK}^`&yR*?6VqzH7X{B_OdUBYYo3dyeOnlJ;6KJ?GQfWa zT!sX&d46%n!YC1KCXC<$41MIlV|T|a#F9F`YxtuF{j#g=ue5ANa#_6A#87PXW(XQm zyr&*#!!bVCsf96vCZK=Wuz-j$`55tpD&f5T+b7UgfbcK`3{V@&fbQMm6oG?z8pZ(K zyn&|Cw}YmH;^60MLZrc?=w;1<*G@UWlOweR7cd&ED3!vgxF#7Yr75uz{E}-@CfB1= z)Z~vE!UZxl)xtl5?I6TP<0}|cX44-n0S;AT6AiC(Qm=lNCkfbL(T2hD5C0;9DvXN^ zFkF*K+5}2cO7a*6Gi3sH-mG1*2|CfEq%k(e!zjh2MZoTjD8g)Af$_Ky;0nygK+rtHyGF@!MErE z_6DNSN0yWlv=mdrhe3@}G+WUuZna8`VgIWMmiB4PD782-3$~#d2}JB1 z%N0?BmN7OwbD0tql&`apoTf&D;i4{a_Q2e)5mAMuHXV=AF;*>3?L=U4wieKTh4Vd&`SetZ%!*;z; zPjCs_)-k$cqdP-z0}@%x(fT}E05$GIS>i%EE^<>iQ!CN3iuH&gPy&MOQT~wsts}cc zbPG0ox@%ICOPZZNg|YntCf8KO*~PYImsQcdp)j6;4Q!nS+SoOg=CW_k7$2`!#hL0N z;aUVSL^%~B<`k;rP7HOa-Gb;Y(@J2(2V1OkYJXK#JbRj4^`qPa`sva$7Q&PZGgb|H zMwJ+>vKDDK2iUZAP%qZ){JsKH9MtWq1Nyq`)vH`t(a`g;v#hT`Oai)7JHO zWBZPd- zUNtd@Fx-ghtu5A#(qa^!kMCNwby&|1PS~d{7@D56R@JN4^Vk#~fqc8QB-^eeG8Wx^3=@ zJ}iXae(A!8dkHqeixV{#q50}(6CZfK4%)&4-GyH^wA$9$9#lr9>r|w$&jmyS@ z-@5tD(ht(g+N?jMl}4_je%5z)%Fhw!dp+Gt_^(xnQFt316%^n6;*)9g@3t4|-ou|@ z?_nw3MhN#(mkkWls`aFpq@iF`K&Stkg<*O?_Y)LK+9D5O3k}4gGAg_dYGYW%RD&syx)ifeNkj5Gv~u2U6HV6QM0a7&-T^am|_47Nzwcu~QSHQTU_ z!KIeV4s6#k)hRW?3!5iwPm5u@3BvDzQ{uhFf!9_AqeTd~fZeX!g{DFDRQ- zl9-JDvMn{3mb)(4#Fdy$td@4Z^WPL+)WNRcBhzJGrEiM@577*G5JB8nY;1%Da2~5h z)1hH1ZJ+gD2*@VqVHZ=PL=zQ(on9oVhM=2rg(tLnBj}ngutr*sxdJi$ z+U+uMbnMq^&9XTU({i=dWlWQt0dt#X7V4FV6Ea(T4`%c>OO5exXs8Eh!UYk*Y0-7C z@;n<5m)?xpli+)dWaX?0bVheOB#+3gA|{6`GdwP`Ku{6Cw$QHty^?t2u)^*unowwA zPnw2`9K{10&Ry-1?nb#0``xfCIRwMfy2Fa6vX;}xnQ(JN_jm#o`AWU*17YNUb9tG_ zC20F97}7irjfH1LZ0EzH1l-UVv(S*sINNZ!K{Nrs4w_|>av5;_SHGZrK${Uk1;&j) zmV^v}^<(1WZF*S*r~|4YbOv*IM;>w~YhNz;U_1N@DYu&eSbUuIFgz3scu&QyJ_DSc zc2fr+5*3>(hdE&&8Y)N1jp!$;Vg=KGfLuf~Dj9;AgcFUVAuBx=TvVzowaXts z2NVn^9OwppoH+0lUDkyyHHcAX>sUuS7D=E`ipN{$y@&EWjd)0$FjzQBN07h-OX_?& z`6DOH=X5QLmZ4zSxgH&g<+UhfIKCSmM-#m3p=g&C@La}B?J#tDHS`cUXd~i*uCtB? z+r;>U=&gHr)+;V6V)!!68qie)agqq%gqIjq(jF4$DVwY0DzgI)Yn@;=mgg2xd=8eh z6Yl=QRn6l-4dg2yp-fA0bz z&~!b49=IacB991U%Abx-eEE#3uD0-TqZ z|3AgO4PX`Jl|MW)TZ80LrzDWt?xdN^UC=^C`7Ll2d`p=ldrtp#I^mE$q@X<>3yjvPg3216_r zED_1wtsYZVuSZSM)*MvO?Jcq@Um`Vlf~n!(<3G7#15TmsRBF--d7;(mCO>v@Ll1A% z3eQfP*5>}G96svW+%DpZloWuhZhcl$YAvX#B8szr6vw=n3kIXs@~^?OOv3`TXI|{n zPRFea-e`I@)l;!xkNL#BSU11m4e$HQcdz>1Ej@QHKk-ued<|aY%1a!6jd)fQH}K`h zT=G)~bPq>*@P(%p9drG9kD=xn@d7}UeoZTCFbxLn0Cd3`Eb3}l@W$s(%`*$WWi9BM zx8PeVAM7qz;5=CodwUHW*W6R|L>eym7jb}-U|7MLcah>M$E@$GiagQO8j8MEAeML5 zKKR7yI|juyLeUeS6Jy;uZO$8o-uG(1WjxqZTm9b0rzSU&1x%co*jWE(d1HNV+xf>K za(p@j?p*R7DnFguJA6LUKlr#%nf%@Cfe4@cAw%AMFYHVXj!KxNl7{G-z%oK?O?)dI zoPeE$lgEz^Tz}20@4^hGc`BA%XYS;`KIbiRXVJa~jdxaRZOgv|GnjAJZ!bx%S$#6OCw^v)2hT6__Xt0@^WE9?CG8)J zaay9c5Z3g(No(_NNn;aU04+p-=d5`IEw2pFBRySy7~UV%4$zh-Oa;g1pe}u!PF2Q2 zu{m)lf36CQes3$)w5iTa(dJ|)!YPq7yb-4fDiCzXS7}ecw<(3BkgkMkZO3!vJ`;Q< zMe2;20R8J`AJNkC1ZR`NfJetT(GCUh8(;<5>7&-joFl<69Y}_i3P8vJKiZ)>;aY9U zWjFJ31cW;DfpHYSLk96<%4V+#_N9t_3|DOcmc!9Aj;%2ulp@Cr17Ke5wG_b9nJJ*S zw`N_5@->@91_HP+A%wjY_7e=#z^G_Q16mrAsR2-I1u7!z(~-+E1*P{1^(rGPDWr

3lgGIbWQdC(wi*ikzg{@TIBK2HHG2SN;^U37zHLrb0jq6>C~ z?gaz@SwldEDurdf>O%b(_zf7%<%O_92gXe>KMbNw3>d;2igLk7Fwl6Ab99nNWGZnS zjZ7(SO?4{PqW_Xi%?Ovpy^NMoU@4p^6NHourZJi+ z9h)Nnl|Z)`(uAlvpant0e2!ymP|S=8szCw^JM=4mYSD&dqeYetB*WuuFM7IQkL{SC zKNJbvgMzr|dQ_2%r>8Tqzrzja;|@9 ziYCX=NoAMFryaMc$!Qn2HZ{1qcH9Yx#i0&0#@e@7lFQXuFyE!7LXFiL&Mt3_w%+1_ zQ7TADb%F&J4Y$a$xociwuulZ|Pz0k1oCvROv)ID5aH;8*xI7f|9Q6{#_IgYsl}h1s z66TPWq26d@uqmj#%8RO@0svR*ix2Gk7)@K`b|vDvCiWSkQpA#hQzgzY%a*HM3IGwu zG>72t!|J$wlU3TcR8Y$IYE4I-foVT{T5CQliZc>-JPBvHoxGvNdR7-b(NKA2il!{+QWY4a z++77-@xFzrq}u1*yZ6@xA=pSfQ88ep931OR_C%|W8{aGYUgI`pM&rU{a!OxWN&S?< zp3>^%AIcIXUdw8wK^BS!l=yXtrmmcgd6f-rc}+StC~9q+SKSb9Y1i7CYSPQTSUbG} z(y8U$xes;~ei2NJm@)u!Kb)mJ$S|3i9S=C?o*Y>cv;1;XH`e|N%G}c*DU2(MH z_r_ZJxyI9KV^LG7Rc#%+=aJl4+*kD}U!!p~F%hY*q&E2FI_Xp$K(a=Y*=4VZyVo?_sO5 z%v!i(#dXapeyv4S8?DJN?1~<=>W!-JMRzw2Hl8RkcH>>O)ptwf^|w5D>ekwnxp30L z`31jJmUp+w12Iuv*SQn!h})VDrwfiJcd1RM5@Jzj&J6jVHLY-u=62OLh@Um()bj2n z4?gj^FRC~XwfqV2#V`aLtCG9>&Ut-RuUjXqKVG-T#6IM-bxT`sJr1m%FpgSVt>pI` z-;OrUXiV<5kjU5_eW$VP)@RLc)!b1(>9&LS#ZL$@AvMGSdifY=rSlDe2i6}>2t!^^ z{>%>@F(m?sXeAR&px`L#4i8k-x$?$Q<|M{tDZ!-{Xr=bP?oJ#<{mQ;W#|FxcolD_m zcxQ4TR{u)rTRGnsPqnUwg^2PWh^KyEjw7Qz@yFL4T|V%S)4rSg_}a7at^c;}Sn|K( zAeZR0={zERU^0C7GIADNSiQz4vFf$z;+4jmU&N8oFAl&;<@`0jd~@EpTPxZYoXdr$ z;B&S0KRvPHs@JRDdHwHxT2_6-&%p(X-SxtmKH;vHPJHpym#Y4A_FXTYTh;T`7f#GS zmwQWF^1p92+mg@Mo|$vvAMU;Zye(CSs}9GVE&V3@0U6g2f~&tk4nD=n*ov*rCL=##{GX zDagt+nN<_mlQNRxvYIX67)LFvGlRBq>8PJIWj84%x}S#8r&~$v4{C#4G1>Ok4waH!jXC<0eUOk z>Jp>Jwm79XDYKerpd=m4XI*2@&FZBcIhjbZ>UhS`yhsQ$m11m64Yi4cc2uk7NNgsW zvISsYj9{J9|Cg1(1>}s(p%!UO=>}ln4lEm6f$K6x0zoXpe~ShtAFwCdU5EEfG9>F^ ziBqvGOhH?vSfKTEPNnuhw#Il!q5@}Pm}J&wEf0heXUqfXKJRqiUqN`agUE$f~sM-grXG?Kgf);|AKA6UZoz28=&y4f0D79*%rrTC3ZHafGPwbY_p6i7jT{gu0$Hy zgL%adF|v>eWUVsiMp@bn*WLwuxP@(jAgf1maaDjsBj_^Gpa^I-W}8WJXg=P9c*^B+ zJ$0b%Nd`;~75o)`c66p7L^NI@J}X8`N<(RabJIGy?UK}#^c_&O@eH;mL~R^-qx_64 zqg=kASJB%wu=Y3yE-mracHOY6rL``s!!!=nLV#?u9+ev~`Kqul()!P=Uc9}C^;##W zg;#^A1aCt!Qn)Ny4OzH`d^$!P98;Lia4^`kLP3pb_)Gm3z5#<^bJ)u`w0D41-1X~2 zcahn3vnl+a18;}u7nGy_gVYEXHc<4NuEU(t`u78hvgVYR%N^LaM3l{fBR0a`X{!V2 zlO`8Tz*5F)y{ytLLUj&4HQNzEuX}}$;S({5XFw|JI?dkO`xTO82SGwSs!xTvYtmrR4T(u`A zaNs96`HH@lhimb@F=-YUaxzG6ZaThciTJclVKhjkHaRj)Hg&sI{Hyeb}pBkWklef9fezbUU0r!W;7u?XRvoy#;MpAC3)ZbA0ibmTWK!dz6K7 zSo-*E^k%bOxZ~)&CmJU=&wDmD5Yu)R9Nw`@SQ$HeP0q&h8NyCw_3E?H*|P`Z@$bk_ zm$!8e7NwtTYIEIH+A(EU{5j#EQVX*kMTeB4r=Gg))%gq3>$exJ$J|VHoHVLk|10Ia zwe5&ZyRDnW$uAsBvH(8SW_fO*1^b!x6`!^8@4vUUHg>}J{g>xfsNK8D2V+8Wd2DCS z;EnONuEW|=r)24=LM2~`?<}Y| zdqy`gRJF`wvmbMIfr&kC!l=pYOg{~1P+>76x4cQooA~-3A#g5lk z7^f4jLpGNDSK-VYP+w;j+@o&PihH%AXtfGW}056dnr|h|EQ`07ncLv-%8LMn{Ftppfps6Mi6vX7h5qdqfP^1 zwpfXr=^m8AN-+;7{DA0Ptr3E{h$Y zcAGOXPYjY6*`8%H*=Q}vmiix=$K>MP(*Z@nv(@1XCDbAliJ`2;OuN8jX=;M)DNent zEoqh~s!|C0AmAT*-tDb(;56CCNyRKvEJNJp03U-uwD1oBme6p8v5>-$RBz%Ieb3z9 zzxq%_1U=cTao0dnrgZ?SYt0^aI}&I>i9m~49A%Oq@m85l$#%%*y^q}<(X8upkPMPR z>KJ2rKAA(~NPY6>vWmDwG#x%NJ+hf)6g*&dsrm$;GG4tD; zPxzWclnvzoc~VVeA`a{~8wE>YJtSdnP(qzUQaiT4cdcKABAE)O*uZ@ldksjFRENqz z6%b89OB??ANPPkgHHZrYt<7_DA7#{OC{;t0#5t(7j+#hRkuCFL7}o95Fg_2ak609_ z(Lf?7IVxK#zQ6SoNQtG7|ELgkB0&c3!?zmwW4VTZw z0hLIV;Ymsd<6(o&#|oqA^PR>bn|aq~LKrHnZ6V~Rrp%}kzORY2^cS&9Llulae)19r zs8lMKu9#%{88^J20C77M{E-eSrzjQKs8~O!(!|V`=fV∓&XPO49=Ak)lx9iS$$R`7w2BGDq^$g)B6wYj_M`5n9v!Hdqilbw=qWy5Y`NOq zE;X(Yq8az-T@PnVho>U+d_OHf5*2zee?ekAazm- zc!*v&%~A{^liIaH4Ij0#H(tPYD9X0jAI;L}Q%|MQBx$7>?${Qa_U)^4+uPe8m7$js zJ8G7eL8vD?GG5w<@P04v0>_~?_N|J)uY3k_r5R*5%XYzLnf&5jm4s?qhui%e1c%f+ z$ee7h=&3F12Uc#YuKdn7AMI8YdyOE5;cTt_(QofsAy51EHy-`=)iLK(jaZ!|br`bk z>44IJA&skI&F|#a-T{MSpM5arykAC|*PhA#co5S1IcYY)G`DxSPp?5RJ2VF|;iguAnQgjRNp}};=@2Bh*zI9cs zr{dUuKib4UIx2W*rv?3N*l!RMlkb}~!lW&MDV%1zer zSC)5vlu_2+Gic!>~!Jdp^s3;XDrc|1(%>=3*f3?fK_ezz(mGZl!2rKulw|HYB66@ zbvc1M4IiOS0FNLBmK{VqP*wx~8<+!MF5zQ)#Z!tjOj2DfVAsOGV zW3#Z0UyAHtI7EScnhgsjsHiQC3J@H?9&Ae*v~T`d3I~uwgW*X6@3!gh!odp%FTwPX z*bZS>Xs@o(rn+bX@?QJREfZn6ONIWa!5P!65D>D=0o|HB@SqMuU@7ld)Vy7^`4F~^ zwwsoH5DW%+Y=U9er{sJ0qGWLEs!Kr|8Q0bwsjU|>N+#MW2Pp4bHuK-<4Jgfw^ayZzf;5$v*j&5q zgoy2AP_+`bfKA(>n~mcHy5ZCd*t(KR7dSH6;?DrkQ4&Lv@+-E`G`cbH_N!;FT;@OG z3%&%Yh$(|6!>AMtS3{_R25LZVX39a?DA>iT1>rjD5LJbCA)xKxhhQ)iv`4eC9dzso zG%!F1kf6aXIRkc#tlafIpwOZIQyvOFWdYuoBIAL^gm4rhGkrN9!=y<;dNdcf6H^VA z7A-S9?Zj2eVROI}Op=pKn7mZDo!4W$eH=qS6d<%jFBzap;4ex~MNx=^GyC?1bPkq| z83wF7v=JSUf+ZXz@f&2}s0NJ-<&(Jg@4VbLsFfpuUJ`8wjS>JumVs!a5@e@eRuR4? z=C`xoppOUt{Q}Vq6Yu?&NQwZ?3`$gjW?}UJ7h>%Ctuu_TqZp7*I4uGwA6!73(D9K< zD4a5NE-C`QiN?e)y--9i@WC@$V{#%zofoK`!FmmD6nbwXd0Xq1XdvzoXh?(R($h$w z%r=_ND0847XoHCebCR@bI}}F&ZN(+PT=4tVp%k@ijs|rYe0sn|927!}2w4CP;)+C! zeQ53k(Z}~FfC4EVfvG@xvNq5p{Bg*By6lW;prtZb$8G3sVqhFV5MkJJcteG3(wta9bVT-7O1Jc4hw!T z+KW+XMKTWAp@@PeqYEaf=it?$^iTny9A*immO{y(f{Gu7F3o45%n>9CXbbM0h7RD; zC51lb<{97HMiJC(;(kJ)W+DRo9LnUiwHW9k_uaVGq)-F2BGO7hTijK~S=HA{=~7zX zE!UceqLB6yaRDOcP~tt%IIu?=)B$6#28uc69s@0alDVR#vW(XIm00wu3tB%I5h%HI z#d8HrjixA7?LK+pr_<1Bt*9M5B_w@d4rC|TnEUQG^2xWnZ+CM9n-i;G*k^{%DIgCV z#3_JN*8lAt8$##gGk$8*q!+K|v9XhSaI$9Ul=C&wKlswv1r&O3aS+iaFIaKKR-c$F`H zceVk-VoC4^jav{GzM3v>$k_)G7tP0@G)sA8jw$HDj+N#6i?I#_}S`cD|Vb?A2MO z@dy-0po_q4rtJ0vn}ZqJWeSu9JOlc(j3Wty56or2R;ZJaK=vfogGkzDq2Y!qY|g-j zE2G*qDuefm2u)xrh%^egBeQP&@77-98R2WtCt&py$9P^jZ;6fYuLa()K0&wkszo59 zg^Ai?MZyDLo5clV2;l*GtYg%m zyKp(^8k33}j78GHb0yR#%(UmudV=;?{=5~b*715j1BsL z63tBg;kC=Oh$7c0v(pY4z?N8rIa0%Ue&exx>YyE@a0Y}U$YfcPsS3*wWvY9zWAr4x zmfsnjh}}MIBf*SvAi47EMl}{1uA@l?CKX^jsbGxZ&WNZCCbR$W$L)g(o9o7f!muEM zVGea|iIaNE&^8RFg``!SVZh*_0jdPUYGu?`tZT(c0x2XRG{MP)OAEq`j4u1FWnjLW zmaPAzP|Z3wQ^i_BeZXH7;V{=8@-ZGIgGo&w+u}|^s#O^X1!;(&QULVhVi2g^hGJEz z4W=Hd!ymKp`zm5FLip?ic-VsKC0h;@>XXHU_zVTpgIYuQ4~y09DlKfLu^7cZs*B~SO zL9zS@<#BhVymuZGfD@4L>8a`Ldz2afL?&G2|?t9q2Tlo z4I-l==19wxYB6tdx?d6KO_z&t(7}QO03d!;S$W87S0gY|s=-%UovC96EUZH~tmsiS z&A@+f$CTphA4h9!7Mvth7Y5go=tC+2UC@EzJCx$yC`J|EH0DNHrA1R{#0*3+mCwFe zX+E2K)Ho_HQA=e0Ss-~$ncUn7hJe;znz(vTIX6`SQsnPxAX=iUv_rYCKFnxV#h&6H z2@PgtyIOS21pX}yX>^Pw*`<)iN0(A%!UyInZ%mghW1*r|t%~;v_94rRH;Gj%g+nm1 zJypIALNu}5m5DR3uXJ5bD;NsXOJfE5W-kTXpjd4k^3 z)$hjS7WAe>?o_IcCbU1+S=x~Uwbr!?O!O;@dP;iZ#g(hnK2R;mc69|#E|kIi+7fC2vY7LMdn@fhQsGk zUY}FAM%I)DW!lo@LV;+CP8m`wEK7w7*@&WyMbK-hHXF?1Qo&ZTRb_wT>cWO<>rAQ` zbsw_!s>xcb0I3>;e`}vGHF@V~#f_toQzRg#7=WB&z?V}D@d${f7*=1+QYoW+xDWFZ z)<6|xNW~*K4D|ES$wp|IVI|kzjZ13CI(Yeo4#39H9{(_4KxreO3BGk4Y=)HwaDjsk z+!0Z5AssPF&;acV@F?iy&e>c)OZ@?y;lTx*jiC$9?z|YB-TCruFSac|b$4q?^1%n* zG~b7WmV^j*Wtq?T;vM_ph8ExEA0EEz0l>80UD}^ z*k}gavo=M2&h}LUkIuFsuod`Xa2g4K26^ez2Hk>hSXz<-GzXKt5`a8R{*eveNrU>> ztO6BqUnx~VelSNP9_Vy`_e_@htw=6kyHyA8GM)<$?W|p6T6#295!RtsaS1fp6k%@3 zC)^|pX$l$*YUDoQQi-#`K>*7G*oTRz=#mh+ss~U_Ccp-sr~r8On&FyeC^!6COl!s~FQ(PH$%yHHfqSE_rJ zic5|-okIVjp8~dfG;oZe_o~qT#z^Sch%%&4Sw%V-uvV<14KiyWkw$b##_Yb5v1Hsr z6$KkV=M zYK7rSG_oE9pm|HG&-y}J1AXbjc`yDwI{&RcAo%+ zXHBRO!OQCJ`yqx)kHhJRqv{qq*TgCHXfiNKuy8<#2AGcNkTDiIXi=N9S~2Et&TX3l z-9tt-Dne7DT%#9Jo{d5WcWZu$0)%EeJWbOkb-sz-G`LtjmdSxbK&u%;qL2`*l@E)2 zf9oD_byTmIPuGIKjy3PeJ2)UO@x_OHMk`0^&uW0CKm&6gHZYi9hUA<^DHrWtbhtwrD0c z#R}Jcffk%G+(ij!E(DAuUW!4s`uY$|Kztsg2zIP-kd$Iy245M?v8 zy6A)O*NlRn;4Zjfq*}pY=Kc^P5d=RW4NNvrqcJ$yfDdGLPGv%LlKf4A9N8)s@z(kp zui{S)MuVGM$U0R@jS1IPAV(l((x7ocxs{?$@GCJ#D8*_jO(-B*9Os3QBM6gICRb^s zjXtq)2Tx4L+^C3yLPaZ8ZlN$kS)}L=l?9QEN)d%4=|B#qqI#0ffSuP=WAM@7IAlAtx(r7S9&yjJOqC$wa* z*k=C96nb)IpXfbgEfJnfel2~}9L#$>XUX2{VpebWfPm}qmBN2(G0iBcKe)YDOb+Jf zmh?94eOfzktmfZKaP(MMs4PX@_X;ITOK0PJvQqK7b;ydV%4=yw0l}2z$0!}MW<(dp z(E_2!L4y;;?jft*jJF0fB?j}07sZ-N z#dXe%^u9%3&M(U^={&f-H1?!Xa`fQ#gT)8e4(84-KB$)Fzg2(q=+eD^XgXl7Dt<`& z@8a@BxhrTqN@N4qsrA>D#o}ru(M9vkg+8NZo$IseAd&+y1(8p8Ja#PkT(qg6t914W zxHK6oE(SQLJf*7Zk_S4EJDX!o1Btww^4?z>zThPEC=Shtf(ANE#FVe|5%^LadlY(v zNFq-w_x27SEgCDn8A&$G#mUotd2ArRV(0OJd;^zQ{aZ&*V^LHNXG+ zQ(o--KOFtj>aV`}C!%tee&x1v@kdtFoGE`~1$a?l1f41FSy6hX7-yQ_q00}7N$`VX zL-9##zdL)qVKZ?f9poI>bmqI!CMrsIN4zA&zr^+{46lYi^7H6kh z>3>RP&zYyb8WuC6?A?7o4wcrZ!XhY~9fwnMsGW(Max-8qI0`IKfN}uSmOu-^ z0GZREppSF@fRwHCw~CR=DhFSFsv`}sEH~AdUapYp6NHLGnp3-0aP(ppj)lNwNlu8F zRtWkTiVYl%q9iT>Hjgx+86=^YSte8&;bwDS${ojVPV=0s1FPzsZ76`oItx1>1Q6*U zDnFBlcO}4T4?mHxXMh$|j1U@gU4xVoE)yc*`J3TSMrELEve+Z$2~kkM0Ot&EQH*ofQ)~fz zOv$VwKLZkg%zgmlnPBO{eWPrwf`PJ;97=-%vrl$eI?D#~&pLC&5Stt!eef-%u-#~k> z2CrCmWQCPj5Zt!sU}TAeVAh6OK?oF0RDjhab(aFlOoKwB(V%Y@jd>wcV&5#gO%>O} zZPulANe#LI4%^Exw!_*WyD^>^H^>E=@Q{*dK`1x?0&WH-h3)U2gL%TFGmef?qJTr* zs0V`#&R!3QLS&;YtTVUv)V(R~S?a4=j36DWgDA}gdoGr^WYv8YyZ1&<}& zjSf`=VXD~91j%h;C2a<681U zd&!At7|!uU_LH|GFdjkCnHVH8C1T4^CdFWZ?}%s&l#(jP@+SJN|N9TYR#jNXKc5yC z98`(SepP}`xB%UQ4hN5is2w7>?B0JWSQr*$2PzWyI9gf8%ce&MX(Xs}rlYjjaoh=_ zh6XULG8adYpzZ#7z&667Cw9nqM*0nWB;3l9msFd?xfo1Tk+3mKVEb{3p@41y3JWGo z+WWIDzE{;OBMsFI6Y6ww-w$XZf&>}Yl#@Y>gXRTyKFvCkB^xR2<(bFgvIq5LhjARy zvLJedsx`~#_>e%LCrCF$>Bzy%W8oF!Lq;VXCb}faSc5U{cU5{S70#en=o5{V=s26i zR+b#KVK`AEdIe$({c;?3W?WGOK)p^y?U3~_(f0Py_$LR!rW72>5yWkxqtmFMn`jfh z0<2aCYYqpqz;;71tlg_tp(rQ_$#pmbEy;30oM#@N{fSnYZ!fO~qej>u=Pq-98vSNe zcr9&g=bK^D#oLH85aK=cv3L}cHNjq8uc0~RU2M9ik91~1-;xv)mRUj^kPFh>; zg6b|PQP+LOouS1F7{Azn2sv3?)M8z6#ESXxf(fx><}P@I1vS(u6z{He<64W;B^Pxn zLdBM|_1HXY^=ZG7GHrICn2SY0xMVwK-A?A2aYbiXw#ts+yqA(bE zsnLpP1QLlE>77mOAa*+Av4U>+RO&3%O5|>#d|;)vs0^of%H6|C_b;XwwBn>QrRkL6 z$u8DadhUjwi7f}W*E>%-EpSikwK-c7LVmQ;DMf$t#oUxgeT1fJE=O*-q7@KFPy*LeR)jfdfxyJL+{(k z|Dts;-`JQqQ2w@E#m8K;7Fe{$VTyGwFTCw4;<1{x~-_lv-Qx<qhst2wNvd5A?M$Jj>MHIxK!tBA-4 zB&ZXx!G4y>#2E1l`7QgS5jF*I?L%b7v;oBOSU6f-9MxG~XCDLdWJnMKsk0q`Z_(B3 z4+8MBQ$R*|Y@BxH2IJW**e*Cs3jO+!2mIa25q!js6UUJx&etZ1GP&VQXH!_H=lPUi zNB}pC&;-csIjqds1`E(dU$c^{Kz~H}6*pfA3=LIOndi{t4CoOrH>!047oY)^Tn3t`z-^&8&^8*? zTafLCohKzG7e$@SrQ!g@rcdc z0Tn=J=c!9Ea703oqDz&-hm<-8i@~7rkT_cf*)=nPd&R?Wf!hxmJmL*ti&t-2CmN(u zTxT{ixn!lzg8T?ng(pU;ERW5#!YvcLO~9O(BplFi=vY|`*9-2HZ)rpi&z;XQwhWY>>O!Rxag0y((8@74#gP3M&o>4Ti}$Icu?K&|;`p@R*~BCxoy> zB5R^n9{d{`MkH;B;vNn77pSXA7E=3B`9>8iL#@TcZXIRaTdapryIt!M-wzTdi!po; zu$ULQ7dFonoPtt7IZ3QvH;@bi;g`ca^vUlM{b4|XhXwrvI#VanW5nC6Zpwqa3lpzE z!lfvVwuum?4R!$NmWCD#_%rWafvhOe@hNN~cQLbY@Jqxmh-?PDIcUEcS^*B-NKivW z{>XkAb;(=?Isp|m!ILXG*@_7SNeF+* z+=0y>-xQq&zp~^B(gFdE9vH8Ad`J{Qp+!K`7e--!dI6BYQ8?H=Eo2Pfz!J731 z00OGYW-Dv3C4wB3C5o1TE{Q36HI|nI^9*DH3+o|Zma#3uuTmUr!nlQKZL?OUUCoEJ z{tYi;9a;Df+8s?a@VTjrM5E90yuKsODlvqyZ{icFNI21)?h>%53c*wG6zN$_2oqbV z1H(Ndc4}Uu0mcyY`oQUfjvZ6wu$I+GnPs?KHK6o|*)zh0%Uq;t;hHe$29`PwN4ko# z!Gt{qkTwV8kBP$FYnwXx`9@W5Ub0l2)K^s+6)apTuQqo_H%F(f6mM>AS}ES%SJfLm z-~f=nZmo>Y5nnj1B^EsSdM6B|l!`3_3C$B1@@>x2rg)Jc&bhb96cc16rZN4b)8@8o z_GvA3)76RrD>5hDYbREx&PK@=T_<1B!#fpUFk);)qmkRgNA8b?Vm0aur-kSu(Q{%4 z`+7z1B{N^m7p;mpdF8#gZ@7Dds(2epaWc9b?^-%bXW+U@`-16dg$Ye*-JNRJl;&U2 znV4nEmpZLNy1}ik{=`ClQ8aOnEW|cPD_2I}?1dG+fTaD8WJNU-6>olSc|jIDFq+`*LAx>Y;i82hz9N4O^F%e3=z|`Uxcdjs>Cw4&2+&SD}ptm_GRnV&d|^hrRYWNbK5{QdS1)mORr~X zq1>`q-bbQ^euqOqBd&7C8pfj&KsV**pGOM8qi#0Y@L^|Y>zph9X4L?sS;>K1V_mX@ zhY7k|1BZ|Iyq#B8oxlaGmru{B)&@SE2Sao(zz|&@&N`EO+_zSqPCWJ&sG7%c6e#a` z+~v(VfE;sR93~IUA)=u2aYXu05B%fFKb`t~x@6y1zH)Nkd*vXz-Xq%UY^?r(`)+Bs<*HH{K-E(fWKkh(2vSbYdgME)3$d{>`d|YKi8KGa&9GCnS*1= z7XD4@%Qu=;qb&Li4)#D!Rtc86SBWz}QLn1rv2xeoSaVd{tO+e$z2AYkm=^b7+U?a6 z70XNyUp3j6Dbt?OxE6O$>==&1!7K(Cs(3kh)s5SjsrbfGq)O_$2EJ}U3_BUZmQi%T z{wRY1M=uUK13SN_CJ;c_RXfaU-X(q5&}je}t5cwqe)-3a^cNgf>IKfXgi6-ZEgX^s zQKE=50nQ927v~PWeIoE4@^28p$gguWhcP-#FylQANU?}D9T=0jvx&`vl{|R}zUomU z1R$w%w#!CXNg!QCfBS2ANwS;fMHz=-qf;;?2TTf_2CfB2BqRd~ zBblj?0#F9^;t&(Lgx8#_%la~gTp!^KBnA3~&)6~bDe2DlUAK7;a#Bw(}z512x6 zDu8<@*YC`xOJhvEdNPXQF`X*uK}u>&2Lv;R7O6QUyfVH_pR((w=g`IeC75Y1ql zDi48-1^O)IagHPHimI;4B{>^(Q;Mn!wchv&)5MXUhl?tSz<_QxxCSchrU8$K7^5%@ ztX$V(8zE3UdidYj3Jnc*pz3^f4kV4#0gyXYK;DKSu?A#5z?mI_0ZA*dlU@ccLMIx) zOG08leJT?_bp>!Sii6OR2uR2c3GdmQAcY9SQjmb7VY7&1dQltG-;zXJ+JAGA3urhB znRJr%DOkv7n$c3kP}wNXMDyvHcKDz;A=bgrBkJ*X`17S85&1w@1lzyT9RX81bDpv&bR%+TzixWAsVN5CVF{tiOi%LC;K9F+CL;U@ zVu)pr@i5FgcV!a=EyD{?B#83N;dr`{5SRJct}$`rK0r+dEtos*J3kVysgFU({BV_j zqhkz42O1A4jPU~no^7iL+Hgf7l-dxe01tl>%S=IYk%UGSfrf>G-9XKMzU&+R6J7ig zF%iBr*Una#t=4aI{|<~W#I8)_eo{d4TF57;$)P}wSmVy7)^+KqF zx0O{Dq-2MbHaFkw;I#@U5FMUC)sdnt14azKY>8DP9CQuPs?(_y+C_(WK^Pw~iT{c; zSkDG@8JTJU6e5xZ3bg(q8HPzfThQeC4fq;G&c2dnNN(-z+mm6?XW3XkCB!%Ic+4}I z_0Rc}uZO54VhMtJ!ih@1HoM1KhjGg`K;NxmR*|O8#CME+!ocsI{{Z&F#rX!m8*>JB zW%f+V1tOO7&dQFIhqJQk!rpFR)vCmot8m!9?Mtw_hEoHsI{rH(_Y;ju4j%na4sR4$U>ZNfP+XMC1i*-JI&V?Q z%+;)i0z;h)ZEG2Q<>XKJcNQM-f+~gdgKqTjCfWZD0iyLWK1hhZc1LLw!}DtJO>RnI z8S8-DK^?FxYdC(fyyYR2(K29=0WyLV!>o7#Usf)sQ1^6>(J%LAxttw}i&#B0)#aOnt$Xe(ioY zkZ@$R2DzvY2$Z(J;1tf9(()Zj3>AC4GyD|(1ugJ9$44>cb0))^fZynm1lc>=p^Q{E zfHxhEW=b9pR3%0w%?hzb#n3G{^%^j-dtUT-k4I))F<$ggoHBF+cH2Ip4})Vm^efV^ z4ZQ~&1Or7eSzNxchtcCS3j{0xxjx6KY2MG@uh;sxlgBKPmM4Y{V$l}dV26_4g6-H z%E>SRI>ICA)nkKB2+PMG$jlF{?Xk6ObQS{~o(c;qjIbj!Z4jsB7&~5Hfr|V(?PsG% zGK83JcOAS&E1oZzsJo>|8=xg*RYhubkr_o!3RG!_Vi~w4q=iuOXov*)ahO^t6Y^%~ z=H;G9e6oUeZpAn}DfAzG1Y0t1&1#R3OiA)k?i?ZSroWvEs*}-SIsQ_xx)p2#h5LIi zoBW@*yJSf8#q?Bj|4y^Luk+;5ysH+T7L3B+uf7sk26qMHNyw72#5TEb<2x64y?pD11r|fp7#L8eUtGyiA5M&T-N+d=y{(NCqqT z4tM5-T*`i47^i{PcCSh!l^*m5LkBu_=)rF|_`%q}p+zPY7X9a9CXYIdR@;`8zl{m13F0U z+i@e#&_^tEmI@yg(EpjE%l0QK`pK(@lUT!d!3}lC51N1dZRyiv%Jj?k;XpPSDi{j? z)*ayN^WkXry&oMEpZVP3iR<^{3m7j9k56FBfMMRZE*XQ29$vlV1Rdfd?7~j|B9yx3r9U~J%u1h&<5b{BMJ+!+>y4lmaaDcmnx(C46z^P- zTA8;#|7S0)egR;=(JU#&AO8q29AOKb*lQkqLAXY()(Ecvz3ejJxMJ4tX{$1XU+OEd z@Ax1@G%ji>A3-fAm)~!!**+d_`E~B;NAC=f1m@!cOfn9-$!gx`HE1*=jb>(Q_qv4Z zy4b&Cae?232^$H+OVwZg5vb4bSFJ3*3`V;Gf*Y`MOnO?M6obP35Y7BxsS|d6h#ACW zZz1gTpFO&!gI7Q~J0G41Cq4J~n3bK7P0*pD*VTjNq zDrX2!9(eN7v>U*GADj=Rv;#VHbjgePyxA%uY`@*;jbG5{6r;-R&s~iKtltbO(=Qhn zn>GC$_<)s>tPjl|>gX7pGLm5U?g!_HX>(!l2YYmM=IJFLAN_o>dni{8p2JHF0)pR6 z{|*jc105LePJv`Y_{!@liyN)ko^8sUWiuXxxhBpn^ z#Q%F_$LCMn@G;llL3~)`u-0dHi9^U?)2=N9yDBl^K7tV)Xt7{1!;&5Ug>7O1Oym7|C%F!mi3dFwz*ms*k-^oU#pc5frviYjWXmFq zlf@}MFjMiYhoa1DN2gsrr59DC44>S!vKch!)i7ddkjGXWNPcwD;;0tO0iJmJo8!X7 zY;B`{uKmnrbT=D@$QN@cV)U;*@W$T<&k{NqBr#I>h&3iJEJ1LSf`*4|sLO)y+AnL( z;g;}F8Av)5vXr|%yk)}}=>scrg7;K>&=L!^SQ6)wVU{|Su5*&g4bMpC?&BVqnCFL} zWus$tb}|3>>MQK<2ZJ=UEHk*JC4AxNT~Mr#nb-A>uIS?TAIY!phXB1q6lB^DhkPJ* z@oN1yKUsc*TChDRO{Rid%q+U1y=VidM&K#R{A@Oitvf%Om z)IYR6wnHHF7^ZC-h>Ug*y`PD7mZj_oSkq&NwO|fy9eij$$)oo&Jwm8*YRMRGF=8n| znsLEWfQSgk1gY?`Xvcr-=$lUbvhynda(F1av7};0!gv+v15dia<^f)5J`7tbI=pw7 z^!tnKFkrL&B3!8pw+{1Wy%KC4&O;91Onro{!;(=vtn0_{u*TgwfHU=hTq*1vepNz) ziwU^s&S8JSC`MIw=P-;MGPiAM0u;IM+ZdS92t@e>js^<;JsfVGS3v*2{D)1T*Ke-GrS4N$8zU36o^}B?x!=fU zvds{4RqyZV)3`7Ury73s+Q6063=~2InpzpNVCa#NJlvWvhd-2>zN&L&tA-wjep5p? zqkoC6|N3ymxJicJo}2a1@O?Jrg)K!24DyVr!znL*Lz7WF>-~!-82!cvtfM2c_rtvo zo@w*>P(B7E2;;Ud`wq)(@+0Gp|4{Cs-|RkN=<#p<{+|Nt^9rYL;{D(HM$G&-=XG~% zYI|1e*offiyg{I?KJ$ZDv5O)XGIhHpFw&CHcA z9jRqB%%^of`gs(RG4Ngdc5tX4V~ZVAV>U_uBdH6pz(wJE9PoX3hfs473C5U{h##;+ zcxdheON1s{qvz%!fvo3;lZ zB1Er^o*I#&j$|O@NeiT`}-d^o)>YE z$Hhm%8Te2k`^6J9yI4>I5r{q)X!!$z`hvJ9Q*z+L zbcl%k_^A(*5>@;U13Q#>F))8Ye?Hpg;ezbrMSwmTFZ#l`|M5nG-T$+{Tv*R|F(0kp zzD#}`3V#&Af1eQs=m!&sv1=j!xM|UNwO$xUWAM>R$L`|JPrZI&N#n&t|8njEp#HZc z&LgD#MN@qgsNQGkbIw05ib1=r<;2ABGcTZ-4`c>Ej1V9?kS_nIK!B@Uccm#AYziLxCV}T>vlX z5qC!>2kMseI9~9vfe)yQ<6jsrL6)o?^(x9wZvOaq14d2KvI&Fc0dnRY{~*S5cIc1% z=0eUdzQC|~0Xsc&Z_TLY{EgcHDy9EMilJ9uDBn7C`!{}xPJHeC@wWTpWcnY5ojAM3 zWDX2df#Xy-9EIt8|EC`UU(vK@4jldvDXHlHY0QQd`_?x;WZfTbEa@Adoxw)}!cnLl zJzxHf4@s`|FL>jl6_2(o=I>1SpZo9xUGxSE_Wx%f|AD0Y2aA3$juHMJ^KTxV_wdig zlI~-aSw94fhgQ+w287u;`9RX0EL>zm^xAi~ObB53P}IeKpSkVQ9MTRVPB@byO4Sz= zFP7oti!oi&ox=(KCU#mE4l?50D4c$JJiMfUNh5z_?i2h~t~{H5_1wSk_bzO_rxDxWDs zzZ(AVVEUXTOM~0b;AK`CXNUWL{tcLyVq{9^>vI$(Ab;@HkV4ioE`-zbI6DNVE0wZ; z2E+9eGMTLf6IH`kn^OE38!`z$?3GEJ;@5-cAi2vt^P;a+mf5lEU$nEE%%TQ0~w{xgkKT>_@Q~yMXvpKSR!)zs_GK?&VR4}$6s#a3bA9(BG|&%JPPWJ(g06v#CRjHsUZQeGl7RZ)WyGkYA4h@O zijLq1(HZghJQlw`*@Uuz0;vY1gAp>(!z;`cCTbfC@thz^?R4SA~FjSLRsge9n3WzNX|#R>yUAhcc13PJy4>>rQcyD z&5Nk}1ST)ar9hJ&(Q+D-F7ziS&C?80m(0uK@^V4rf5gytlKN!R6Ft8`vn#a7;Ku8mh@3_d4hRE6ub^mR|{eEa>66#j1;H8R%# literal 123637 zcmeEv4`3X{b@%N00%zjD?P@Kr6(R4g&SEU9{v#W6m_NQfoy`dZmj48tFSJOGlQ{WE zuxygL4K2HSD{Ez+k!74jNlB1wH&Idt1EHytwB;mBB8b6%pb7m-8~>rHo6sQ1PEaT< z-|x+yWZ9OnNYk(VzK_vqZ+BlQzrk`KZ?XFEtyyDw9b51 zThmp%!WRl2`KWSy`gZHTYvSY9=~Svbk~@`1w3Xv_cKrNHd8CS7rU&Ek8o3VFr73aw z)tWU+mxv2JRu5&f^P&RKywf!`i|##r(@pn_%Y1sI%e=_-beldke}2t`I$W35h|BBu z-n;ZBaiPa~A)rCd+X%VYYkqnA?e~0yF4Ko`8^-7yKr2pNef3@9BH)(4+1pz_DMx^+ z1xCNT#u)m!U0?lK1G)oPTg?BPsBw$zB51vi+-5@UF5tjl+)CWfQgfScNHv;tfr$2qy9dx;gx>QK)|D%AY zu%~2EWG_*o_g8n{eenC@A_yZox#%6#yJlCNe<`0NUXBZp7)%1to;RO;cCWaMfIJIO z)vs2so>^RCN@q|z{;N-Y>b0NJ<@vVcMTXs8RR~&YJbwak_|> zRNw6BDVj7MKs36uvKZqYaiN`qm6dzNMLdol5aBYqGYMpV6O6_M=)gc}=|MrGs((Lz zeD*AH`Aul_4gsw`y=2M9_CH-ZNsxZbI=(}Q;mCVq&z^(Nii;R|LJ~oJ;lI zF)1o?8icpn)*z@e317|)} zllq>$`)xB3`nU8v`)cmZzP>iPY!TW26Eh0RD;Pu5O3%L(O`?}Ylh_#H!0Y$jckpXN zn>X)@7Ja`l9#c}iwmBuvO!j~pT&1w0{q`KYbPeC;mLKCiJ?4}uN0%FTFkQmyNGh{Q z4k+Sq*OYJS9p$F9$>-m|IK?p$L}TcXPvkUvsdDr=ior@C)SJzyN@;%)`Nh0%hh3=$D9x`e1#vpZwN%>NX$dmUC&sGF-M~J00v6wP9%(XqDF*mmI{e) z)&S0kJbV?tGDvJh0V|WC+(zI=KyW-8@gTxFb)r6Hiv>Qey`>9I5qPGH>o8v7!^kxw z?)Kh~);v2D%4bFfu+D`12X;kOB`GnCnoV*n!qOO73gTmUbR;}7hFBhxWHh;Sl^Y2Zv1Ns^Kw6QCJ# zG6M=FqwM}2m!n+9HVNn`g&wK|?seg-yoWbQ0>fDTW91YTD4PA%(j%1k&}`Ih(_FtltdgA zX;6Zb7AQHV+pnZTj6wl)e}Qn|nmaELL$4hvBoW>Bo6pkM#lxtvf04v*9T*;#7fJZ$ z_2}f|3H=AhnehKXB!lq~DF3w=-bZ8m;-UX*e~)fzBViFb6^Wv;AE)?;2+S~c&y5e^ zW*mVU%25G$4wVp;qxX;XxXwn$273r&@4Vr~aaEGBwl7Y)s;2aGb^KPlyr=QQJ&pDQ z8&*IJp6;o(r&USQK6R}+Wl3<&O%S)GZz5GsdC#$nq*{nnyLyhD=xOYE;KM)mAF%9c zd(?Y-E}QlXeSKqYlM4SoAHPTO2mKX%X`*@XY4!c_ zrF^daNO93CpLon3s`lsoV`@mXXCcEYxM{3?qu3THk>ReY$Lv90MM}!>et&jNVg)5U z&4(|a-*b<$1IfexJN8WT*Zb@3wV#;cfAv>(Fgvxe=47fP)fWD*e&&A_pDzAaYR3wY z9_ou|*ay(Gj=Bcigwz&ljbj|`5hj}Amx3ue`f45~%1?e_Gbh1u@i2K{?N=|Jic(-S zW+C7&k~kR!LrXRZet40jh<5rOdFl#$p#!~La5b=1XK3G#-Y(IZIN}?dFAUNF`G!OP z!d|+K<3EFc@a+qQdgY;Vba60w;^GBaFgo#Qu+u(r`RJ$%-@nT=FH9Q|^PePsgc@~` zqFMe(*Ts^A@j{|qtP_i*C(EH1dB%l1=SYFmUW&5LcgouDzV?@$!3c=Axk)MmCEp&C zo1eq$&tvXy19VgrD6+14zdgI=h&Ep>T~J(F{7T}Q#Sai;2Y*-lkA1$psnOrK!QWWD zzlTy?ua@5U_Nn$#s*=(h%Swx1O?>9olUzOBQ)y4x(|_y%bISLFYrY>`mFnA@+P=T* z3+ZUkCxxK`>7cA?B@Gw(C+r5gk4R5XLwH zn7Fq^dE3BPgZsZ1_0HrDQ;u96Y2=pWt>pYY7s3F^Ml<97lm9}`;rKM-k)&C`&LB1( zvU2ZCrE}Le1tbhadL&VhcO;~77jq*(66jJ$4lLdr-q97=3gOJ%@Eex@;I2(PI`a57 zH}%TWfiaC8=jGAz&-VuBy&daCvgv~p$9jMMyZ>UD6o9xF5B18Px!=BcGD`6d=NsO5 z==s{$CR}g;oQ0Lp2sD?y$eduikNbC^%)h+w!L!P{;KRGh{Qu3$Jdb+g85WZV zGJga8Vmw_F%FU>bPJHd$FdUs6X#VMN4<3GZZrZ|ge(Su;&P0s`bU3zOonE-T5Xeww zVBWE;22+H$3Bw7Y({%4~h{L8Jaik1!VhLg&hgdPi`0=6KDTPN-kl4vGD(( zjpbrh7V$#Jq1`SXesN^{BkD|Nd0V)PKJ*^uZ1>T#&e68dc|1$`&hLIfew$hCr~)-* zZ{vHREaKHWkR@GtD2e{A>JK{tVc8#Q*2_eEgM@le~gS=6p?l z9Cn*Sa}yoR8=nI7d{-w{P{z@LlZPL>t|%#~0j&6O#_I9U<|ZXvOb7Y}*`_ub7Gs6W~lmfv(J}9+!?Kmkq$R8rw0(U7!8;!&2FIBIOS{DK$}WHO%R)3zGz9E3$(iRJ1tlR(3m41h2lW!leK=o$zTjLr?F=^db$0N|OI+lO#1*}k> z)rH4GL&J(v|8oBws{KhX)mEq()0ur+!E8R$MKGF?dMb@ z`NlaliAnjN&`QpD!Z<;KF^_9S83bZ)y*$pc3FMi!x*)dbd5<24xr}Cw7YV3&`wI~k z7#Ei{=)^y{4GUTCU`bUI%>H}XiSKIuV#)Sh&0o>j!56?0$55Hi>!~sOaAV>HN@+Xb zU%Ft^g;bg~lAKg>;oIWKuYGo+SKvu}Bz}yWq~yGG_=b-n#NDID)k&}e^`45>P94HVtzqf9rL6x zq~MtVk4A%WW;9zW(NJAUpgX2gvAJ{KrJWY6y(8Z{{7GmcO!Zn z;T>9}#{uy-HX#kyV~jE$UVTZy`)Z8H(XaAFMbvcT2)||4j}nDh`=U∓zU<+A|Ie z3n0gpl5A&=IjZmrc2$KP{7!_GKye@KOmptD5DaJAe5M!44*Ky((1(qTBX34-N~5fV z%spppNS$+S#GYdUn&RW#uq#l${Yy`Bp(e9~z?|-e?hx~P3Neu{nf1Gxo zU;m%5`+U+q*!$k!g05fSJr`_ZE|~P>f!9YmvN5V_oZVU$J?5vEw?>D-L8p&G|5l=8 z_((?6Nu7Ewu{{b_lAg4;{s3Pki3}Nfbhs8K(V=h)7^;9M(iTKdQesAL*M>_~mT^O; zQ;WIO}Ex3P*lf`pf6{(WmqzZs2;KgX^ah*gxhA@g3Sn- zGORV?Pz_fqxf_4V3>!67^;kp~xBxY#`^*+)KsbQylig=nZ2b5QnqiRq0`QbUwxs~I z@_>F4r7?%QqCzZ%ilwNq>#uoBM_NIiP9i+$fM*MT;zPA@JlXJM7S`T5{&$d7ltncp zmSC168Q=$Uj3WgFU=!xWJ&TQOZ{DjY-U9#A{YWRw$h2uT8NS&rwy+DFAw zett_|*ct*15=m4j#Zy2bqr*ctJUsm9NEXU5q+}quh2)f&Fd50z|D~G%4B!m$0npa= zgwJ(Xbz{+FbQ_OlL`MW7l#l*#n?IGE1i-4{QdU9|wmO$$9hn=JFus8l__JV%6CZBK zyuYN3h#K~<61ha~q~4Ec7ZtS_EG@!8*Puc`4G^2Lqesf%p+Esd3xhzyrF#@rco%+6 zYZ5kc)Ma!?Vp=;)Eg~{GGc)#b;&HSDQPOdxPSubN)6OLHLJtr<#4HIFajxzBf zn5Mbfbvzg?9Z%)5Ygs->VF3aH003gO88bn=zx^S|T~e*-hUvOZHg{du+*RIT@`a|^ zqcp9d|zr zY^V92T-nQ8d-)dcsw}Xt=2oTWsS(H9X<5@Y0bLJhRW4MiJOeLnX3hF?_I+wemtu4& zB|si`dZ&`Gsw?G6Pik@Tyj5>=&Gy6=v&E|YHENJB2G{2;Df$(nneRwy)O==;$SEXscfEz5_n|=T{*sVRIoS@zeHo(Ib z9#wmgw50#oSFUo0)0MtC+7Wg**@N+O!1e8|*}i|5dt=$g69+XotR{vp-!45>Y;T#n zH1X&9DSyS5xp(auSe97I2b%Op7EEb*WWlseL)A}Q(|qF&%Cuv4`{{%~yZzf$Zxnxg zi?uZI7ktp)u*JG-X!kZ))l>RvEqlgPAi_cblEcF!(4&3704V<}T3mRC05e?{xy z(bojdT4px>aOeMQT(sg+Pj`x5NlChDG}$K=jk}h+)17HP z0IsL=gk2FgWounypPi+y5>Nyd12{QQS=bT6OLx%YS?}L}WJ9FGGr(^I;~}ql!!vvJ zyv}oO3L`ugZI$T{i~-#c0~LISmKf@lq{OD(dCBHL(vhEXV|ND)+e%Yv4$KK#Idf7` z)+|1Pnc)SpGDDSE^02+|zya9sR2AzpNtxLe1l2sb)W${%o|3D;9Ki1kkEe+1JH07n zv`QOOewwXT6^^o>{OF~d^az+xBk?S-<0v>CxFx?Q834)mM4SnnwV-J3rmRO5aO0u7 z+Kdqu1C~QF@ks?KShlQub5w1PgMlL2kic?_2~ElxGH!fj#6!rU z^5$zRC+47yWFFOIr#%illHGBniA@Cgb(Ys1*-tSwW2swgjk%>5Yim8OBr`r=<2ioR zWUW`f)e(ypM@h2BKqux~&>=trD$oI)eK5&OTvdzlUYoI`Zdz!roE$K=)%@dIK{Pc* zjFk4fWZ*QQ2|i5?i6_T|0s+m9W0KuT`*h;4TlLnh?NehYu*#lfNOh8%jJm$+8;TxP zU8p*$V_K3Q(*qBE#aFjLIOx10q@&96eDc8ezKIpHm`<==2htUEiggINR-h`N(fS$1 z6Zw2!&3|^+=4g-YCevCpt3(V1g2qh-HkVp$J(`o;Iq+LhxRjOrWVhn<=xmEZ{SpKr zI6yI5GjK~~gN<8i5X^=Jd|wnx)%Ed@_rV12OrV!y=CJZxOEv7tan)^lGAi^ND#cV1 zPz{jZLH|oQmIQe}N7u7*vks~6qs&eP3V(qQmar?+q30Vr!w*lcRqKBV%IkZ^?T!*iuoEn3`?Z-BzVr zoz``^pM=(d3$_ZV5bdhFO?UG;s_z>Z0|q*oYk}LLy66;+>4H+IjGsiguU`A@yF6Q+Sa33{Jf^qvrLJ3@ zXd76xp{~(=W^So|tG-psDr@YmdVTsP`?=CvOK*iH>KAwDYH^QcS{C@Ip*|P-!wddgJ=>)B z>8*!@re)oVuB%%C(fw}8cHX>?ANL;9HzbDiL~T0~H}USGY&Czl#oSr6a4v82)+hS* zmA??I_R2sXt1Wwq^IH_R%^lGBtX~)1`f?pX-lDiI?t#bj!#U-&rqvxzD}Sjs>1*9$ zeN~X_LCu@=lWx179nkeMy|rCeq3+B@t~T_miUhBCDcDuryf0CW*yeruV|s6*&F$s6 zT_)$e^|)6vl;Ccg*Q>X9Tg=wEdag3*5nT z8#m<09ek9I^J?OJRjBgpWPe@OI|>@`Eq-eALZV-aoJXWaN*wsgHJ%!`DMw&P<2Ya;Qw{m7yPiS+>_16diRz5lIQ-+ zZo|!wb8nQ{i(Z-p9#y;CuSjRsxas1J_N$7P&@g;U5+x6)uHGEj!3uwt=Ig~##F8?k zaHZSbg$+WwultF`Yg07H#U>#)7KmK+K{6naee;U~u6Jl|@`*r7r}a9C)e=9#z?NK} zq(ze3K}{gHLSCt@l99Ee6;bfT9ENsI_N^-uY;vo;(HwZQi_O7oS#{GG{b0ucLY$#K zKw_P_IdF7vle|ul5vfAHvMrYDcUJq%nNW50vmKBK@S1?I1uq)rh(cQ;cASh_(0ee0 z31p4t+_4^W(KDApHj;dB=g@o$Qe1*E5hG4Xa=kI+wH8X06C{h0?Yva7*D2BD!mB|U z2fJ5GB!()Bkk>VUK;S?F@(JvaF+=S(^E22~wN-&VLH-yPLrH(2l8`;*?gC$!M})BK zv{cMbh<8%(*+W-1E%XjHw9us-+}NWC5#jh#p#q?Ew01IZmn&tvPrT2GK&kS{fFaaB5QVqv zWH*7fai(rES^`Bv+C!>1Qrlhc&D6nW;%E`1OBudN3J;nJC5DKCv+Happ%`MCb>%%b z&Lc}hGNStRX$C3*9JC!rQX8PRKqo-+`-bU33vqc?0tD`72_C8}FIqM+wjXK&9@KOw zIMJA`h*nWqGFJiJG7hy-#=bI%BPFPxZ_h?4b(+;@U^jBf%HcA<)wR17_9&P*B(qAr zt`o&G|3*CzN`YD-&WtVk2biqH{8);mQCEN*4j3k)OvqtF_MI*;Hb+iC9y;=y!p4nq zAjA`BRtj%uQRf@x5JNoZhmbQS%9GfcK~E(R56 zS+7ggE&Ms?yJok-{X{Q^#2=;_p^AWpWo+HoWU+XZL}>`XuMp@C z6+|#7c~XMi@qlvqP4*lJojz?V{`6Zl_tw&MT~Dcw3L1?V)>$Q*os0HF#a;K9*Q3Ky zk*I*tq&VvHPO}VJU5V9YX05r27$4Np-v0a53O(BYodqoEODG7ng%vQSM}0B4pd=gM zLNc03hjy+)6GyE;1ejl1WoWlsPn2KcW+elP`atKt~+sy0{N?zI!&cjO}D<7ZghWOyUpE7M;9)7 zYm=S{9@L=WKr5cBKj=3?i5udHM8}^F;ZPX&L0w;v;Is6DZr9u`MOhpavqjOTZR)bh z^!|iiIjARsuetc*>K3J9D4|=2xixFmyjty5`>=0!=w;eX?tx`~^L(vLv-dyMr4;KR z12h1)q(}GZl2cX%(07svePIuDs#a@7(B@{X!Gx|iBY$E+CfJbR0v;OM80sn|ih=ts6@+ zdIG9knU36)FxQT!;%;S{ZwghbjBhcwczd(G=#1GDSyZj{m^ZH!5EHqbb72_b(^|Y; zMb?&iPV{h*O%^9?oGT|()b*IZP|;#soWiH?ywaH z>dndDRK00A_OlItaPnuT8iUz2pWSe>=3lF8+iLz5p0YJV>c@Y$^Pcu^^T8dTEf|2F zUN~*j;6v3@HvRRmA!p(4MJ)rc`(%H~ch7`-?LL)kKWl%!sUZk;6lgE!>Mu&LOhlec z*_akIxKsQx8;xSM^OAif$9WIe@%OgIo@rKM6Iiv<7Z`f<8AwPS@&;U9jRjyX5~e^7 z^cue!3Q~h-$XThxlfYHT@_}bh)~zotQ*yAKKKa?mW(*8Jh-IS95iAl+%3)rlL!Yt% z#|MK3lWxq$qQ1`!Pjc02!?e6E6SGy9v+ARsG{t2N>XoM-q+M7x_>%Cw#k~kf7n3sc zaUXN#I9O7gxg1OVkS6y`xzw{^SK+!Zk@bQ&FNa(QPvyGcyPalz05+wP35f2Oh5c*$ZA20-0wQ-$qn;xpehj< z)G8tMqtl~@_`Gih%w=g8E|qDIWS^r%K|UQ*T9og>jsWw8hL!^=mcChrQjm=Jd8|E= z9Bf^tU)M|$GG<4s$*hsF3_s%QIm~g3dNYuA(1x`++#$^f0drrWEP5&$>ea-7z^g-z zi%IO+3>1V$=VRfC77vnKCjA3ik>#>1Uf`DM&g!S$Q~N$xq#P(Ru{32hJV;&y7_{gM z2^~CJxP!%;gbq7U{k32*rr*%4Y|3PVq#!6#VfBO3;R2Zj#e5sEU?>k-0SKQQxb>qB zDW9>p9Z91Z4Y|^lV9+ETmRa=y@Fn=Thc+Q2n*)K!cylz#dtF*VCT$rDNif0Vuey_L zL0fR^!^~dIY3YKR7mZIk8=;KK;_r7CQ&&g1$%vhHWGr9-K?~yw!-CS2@*?Vr{(&=O z`Z$}#lhIuD&4wNCK`m?XN9oY3VbF2WW$9i;;;>6W_ClZJuuq~t0b+BzdCx1djjoes zX*~@}0A7p z59`);w5rToy>OMT!Sm;ES7E$$a8=1R&9g_NOAM*DOV{mA#m4OC(KxmBLOG}Qfn!ueDIed)-K^}!x`CBw zQ%tkK4xxspGSVF0?)E?QF;=G4eSL0;w6xaVuhqH(cIo1k=|gE?{9VeJnW}&oMyb|gXmRDdG zv5o{D){&aKLEkM_Wh$e0Te;Om#oUwUhZ+e zJm>o^DHgf)jeMsl8`bRI>(b&G)zL$>!$iwZ_3XGsS!%l`{$M0ZLvyRKSEg;zSFFnH z&T?2;js&K15`%6Qlpg9symCF~ga#goZd8A_In&2UXKWSgTh?kX`#3*B^$fo%GEjy5 z+KC-N2jb45_Ygwr+@%pWNB;7ccpUyS(kmgbgyUYoD(bT%3;>9gB z_rNT3T5Ws|N3pzEH@IWPbMd8#&sD)HgR@pRmU%vbn@5VL`EOMFi<(bhgU-ikm(B<2 z^6_WhSWw$KxEqEQT1WavT1VQ0b)>dWY-l|B3Fs_)Yu;GFXZf(ma2R7w)8$uH-LK)1 zA56T?aXJf@t)5=^@TNh3;TJYNawGjejAgDpf6+2)&!5$_^?tVmnf|Qtp!Y^ud(hpXhl;6oz+55#k`kNQf_k#Y3|op0|zr^coAeU{B4Gt2HapDe7y9Noks2 z**Vv?( zl;#=nOl-Z~Ob%?kma!SQCk~4WIx?_B08fV~u^=H~Q~=u%?=YcqWQ{5LgDcu3W;&u2 ztfw+vF+>VdO2D2l1%q7Dm7E8b=EzCRs=&%+_OY)Jm@ojaxFJTIq+7QTfiFsaAd$vb z!|+=|*J&qyk7x@z8wnvwXA@~4E;y^0I`EAjfQdR0B_`>%<9yWd$BHu9n2qKh09CGw!y!6X7qQx|lk5npk~uqXBc^0)WDBiTD|0XRXIqE~uu$ zDB%4_5RE`7@Q?R&L(z*?L(dcxr)MLSNg@*@JS7UrhA%^A`KlAxt|ID!#G|026NYJr zQ(MR|ZR#aq(h$Z-a)>YFMhbxlnmL4Bu_21PQK8d<9zk6=EQ->hpO6-Ve?wmPc{<#0 zRDk>@5e{5XSD-_cK_&2^;K+9`ES$wRDi3baV~`E&J>rVNR6vyX|Li-E#Ahd_r{S6s zTGF-~TcYaiV9iaV!}hs(^(>7pf=(H(^h00Dw;Ko%7DYDQqH+#N?_0 z)GbfO4Sf-rh<5#z&%z=Lm%@Ot&}pz7xH_0+STbZzV-y3c()(^T`Aa}RVd2TbU8S|Y zv76*F$=~ks3C?O2hn$o2U%&8Y4Bi=|>)UBn%20e@#`2I8AAG?_V)#*5%%Jnt}5IlF!G%bg)-D%lKcg^kL3g!qU z2_LFH;^D^vv>70o}iP*-ucpoSh5&v1?2NpBhW@I zZD6Hjk9So~-`8qLfd1T^^@*pRfo~*9PrC&Nnti@1JaFuD5Nn^~qXcLuL@|NixZ)(F zrr6~=yw!C9ZFPn0BpEvFhvAdBJr23sJ-cRz3H{n26jkRZ%lZ3B)t>%*3>tc3Gu6!;8jx>y~$*A_ADOm-};IfRJ+&Y~VKm zstD|KY#$B3kBQIQyhjQ7Z`CYKj|rE`rfIPV zgbQxp`s^h1vSj3Zu!T}!5*OjA1b3Z!fTYzMbzOEY2SiA}`9Ng(T#`2ep0Zx^i@@04pM*JRKApxM-Xx}iclgL0SsWPy z0Tz1+Yq~DxetKqOEeuSU;U!c8rt2c6c~$Uc;_vFx(;rPyLn#Vqo^CAMW1k3P4;id68Ocq}Z26HR64hz*|08iy)6!Tmrh2e>@U?|9lfA*^TRcHaNmt|>Ki(3 zu1R7xs_wvfFv&XW-rS?53a9uO!UBNHhFKqlFWJ0E0e7BB!L>Gs3@{v z#cn1u;#7 z6rv)Kp&71@$bw4yq%gQK2nwvmAd4>)10uHj<3EA0*z84bh2XK**>#XXX(9fQr&W#M z>XHcb-F&di#u_Wc1h<2bR!WEp0nTR62WT z#HoKgj&UKp5vTsOAGS}!s+v06s_LI*Rb5L{wX>#c=S&L4`9~ib<(!{Wr}%BdS@wqW z$p5IA{BGIlk4`r9NG*;Ze(SF$c*&@j4~X^5q19ZU%0iR`utG?oPa$NH zkCjG{{YvyQq+1+~`EVto38EroC*aDyX`$2<)+57`>O{PZMO;TdxO&ud<5J_rh5ZG^>;LyTFZjPJ>8DY$}5EBr@T9BM9TrDxBXCFzuc%y~n0x zaO=g=a7M`_ecGw84O#vo*8U!5i+6}c zN1(TYjFbxjZ^Bsdbp16y31S+#9SO7{A5af4R!R)%PNYP7QFtMv%e0?dH9=$sEWv3= z<_mp}q%;8^RRC15*LF<=52w%mLKH3cjgoZ&DNuzz2-8ZVY0!b7EDmv|dr?tQhj2_F z7Az59i1IAoR8tqB%&1I+=0*5WK%4+10Ejo?rGN2P1;y|rkfB=Q59z7*iTYB_heN%R zyCFOLF#21R5*9W5`q1N-osp)aV2mb<$N~5H-(@xgg-6v08wPcA@l=2X)P`XrMGQtA ziS>V3qejI!z>|P1)g6dK;8KM}Mj{U;XXqW&CIU2Luip6aw0LHVGw6sS0~JCbkRAa* z!w(UJqR`LVo|&+JThMyMk7l8C^h`1cN53akvJ{_wIr;ZSms3;IwRhUyoeMnX+sUTqS zHCoyNx!BNxzlyC4wsJVCtqNlL#@advLI^hO@*6dg1a>+}*Kmqi0<`>Qy^lXF@bsH8 z`6RjPw}ygOk3vrS_g#CcPA4f0;{<_%B0_*eIZCoqOk7b3kdERB)AQwSD1rz6Zp*mk z>-c7uFY2Mc)nWa{vaO9hZKK8TS(DWf@p^al$vVspTYBMb1!r>dwi=lqZiG4X)qyeR zhlS~+m{?wHPKn=!fPftuF}My}UB!<{jGDS0#Ny~scQFa(0UIlwB2Q>?MV6U#jA8Ax zPhWa5xrq)t+DDwh*1skNr_RC&6%!h%1KBP z$3JQCQp$j=0lTL?w?N>qcZCy$*#@raSi6I#5fC#nQItvA?{rZFdR8Rar__t6qQ8^5vVqJAa)^2 zVg>W1O4yObGvD;!70iYuRZB)dnGW))H6-7Vh*7z*Nk{_BP< zkkYY<+cf65P~hEqmk22#e~^)|20SNlH^~r!F;%iyeTSvFxj6i7;O{-Tr!EV~t{T%O zqJ};dszb^O)|gCFvr&k{W-j^I&uyr1fx;AZ*Ce)Bf|HR%cCzH!H01ZhT$B-;^bB2J z7APzi&FSzzhqDEx1) zMKw6~0X&jXQtEN&lyr;fbOjt^kQM9xU!BNW+&M_t402O|(>w|k0lYpe4?Q!SSkvnR z*WJhr)WAnF2QJw_91SPTB-CXg(!;h#F+L0+2D&Dj{hdp((TW5HBC*v-?7_;=PC4C> zsFbj7VW$T?EQn)4!ofmReoL0R8~NWm)H$(B5v#^ji-K4~PI>|su+I~L_&pu(qv``P z(bb^c^Pn+(C>M25Dkmf)3eH43LGxKJ%$tBRhj8!&e}`P%EKFC4iMPzjVDqJ-)a{Sf>LdIjf4#_oD`BD^y@0%}9ZOuR0RHuvd% z9`2XGoxm67*gLT%_`W51)uCDay`w$p*q*zF+D@uqi9!}@a=AN)g<;~ zotfp5?Nm&DW8rH!a&t^E+u*aK(pGkxTNRV9=*KL(8Iat=fz-^qlzQ3#?>6b!v^k{f zv2G=Y(%_NoQ`ZY6IL*r;Dk0G!vXeT8<9VyK9CDggOY>&Y;KQ#S1!g&Nn%1}K*+#fE zlXrEOlAEg+cLZ0t%~8B;!@joG4W%dDW7yWURrldgi|YHXaM2d?*Wnp*RK(=AW1D=z zFZ($58PbBP=Pj6pydXje3T)DOlMZioxKJMsOza!0hulX6L+|Q7@(FKosgwJmcqfTJ zJazWb@IGAAM!c?R^B`O#R!u)6+_yHKH!D6Pj?bPH|A#h}VeSlQBQ8lj-m$s?wYIIM zaB2ME+Qf#K<+jd->t?b`r&2O?-u`R-y=pKCG1(610E6jNgGlLt*v6C32hLoNMnGF zZCA!{5P~0@+l5z>3-;qd_V=5wuQ#NQ0BjN4acQ3pHtb3Uw%NdCmUuCS4}HMVFoeKg z=8z|*p=P9*BK6;Le`hP!*L~X2VuBAL1GczhLn*NuF$jf0ON{>JD14(_M+Ho6a$+N# zV?6vEphDK$WF)i&BM$`!p2F}v@F8U|;C;+}m37NAX;V(R@=sP?=E0jB%rfo}%Y=0n zEN4!@Vyifn$lN?9)@R6ZBm$VMj9EF9|M#ybpP(IeCC#yWMWK2cm70MRPSlU1ROEHA z@eDG@TF!MB3@6E#yD@w9rs=d+`RMm2Wr2D)wZ<3Zm&k+Lf=(dp>B#3oE0Ne#dD{Bx z4x2;>;gtm52(*YV*#w0d%0)}OK2`_F80=Qu}e9cbHjrr+JL`N>wp~$;t6p1O#dcs^))^u955|vTP==~@LK*-mj?REMlx;cU90fd} z{{TKY&ERYHXF|A00k%sGPFu+FMYqGj7x>^Q|DzN5fiJ9SODKvkBBh{!kRwEKgC{bP zgN=bQ82?xcngy_cjtbL`Fzzs%BjTb2k(0Ku72rD_vS1;QRkHhi|S%z3ESs0qR0x5^$6Xxd%2(AW$9!phW+7-uP8|qM}64ExhZ$ zw@Ikt;iEI0v=A%4hEclyny(8yNpAtF5`?~iKcG(igX2}f)?rw1CjyDE>yq=g;PeM_ z0y2mp=t284Xsd<*Zpgrs2t!ZdcfL7$Dfa0=M>4TJ3sgdt(SGnRAm?_}6=wY)g|lih zQFxmB7F7tr5DruQKgE(tNw--;9mQ#~Ip)uh_3l)e&XbW!mSek=FJ|0;qW5+0%37&? zI2^)L_wy_u;1_-2TsCsdjkVa_X}!Ae35Y*To?Bd;bLl?? zASg?k#1t334S(HMGjxUKpv&Z}A3koKAU)dOBEK@oM2mm#_67)2Y!c5srUN&q3(Eo= zctabPwnD>j9fOoob1UD>-LjV8Lff9Bl)H zS;fHr_|zFGKIXADXZA1+Z}~pg1ipA^^tm=?OMCheuu-P+GfDxD$r+iW=NA05?$=|9 zahz#W*t!id?hlJ`a(2SjZE>&-&a@Gy+k}2j3TE5;M$Nd%9T_w3f^Ss846Zhf{Bi)f zBah)u^|r^_+@pNv`74|Y$Z(v7Q8e~syx>O#iM>xf&WA^ z2I)!2L2N4nKYy~pO{G(dCdsERi#5 zFtMb>9jI3~ei1b{T&gFLutMD6UI&#ybti&+&V)Ic8WahIg|WZe)M?w9R!Q&FiXnsy zzgbGb?*yA6)e>lAV13C|(8`i9ZUCNvH3I;K!~oI(n~%NBh6Kh$RSU^TsfGh8ia<;m zr8Bm-4J}B4c9T<%F#_mX)K9=!+391=Q(D1OiNf-?cMBqM3vVCZAMK3;}VL>>MmM;yH7x)6NlqTOjhc!t~3uuE=ma6Vj?yXMl~9ozsFN!20JI?5$H znr@d_E8$C`!fD3_Y75(R=-=9YWy%+!EbRrh(#f42c1t($>l5mj< zoruZrc$7ylxe%Xz@q5$?#PWVqkKp_*;-`xOaI`|pP|KbZNcY3G40ND_&tEFe6orfn zd-NFn8r+NqJA*}8xqil)<>)1~k{Y{2I~14VELLj96+rUYCd_TLYvU|42NLUvd{JN} ztFX{}tEE=ljNN{wg>$yChxeOMcY_e2aFBuB3(p4^FHMwE81+Ek*#G2)=hfPD1Rg~= z@F6MbW}M~IiV+rA1y&pFH3He}l4AIk2#D|q z)E+@SL)K=dcT}hQ&RFNzz?=E`{G*Dv3?rMw(9md1Af{)A_al z3*#xERSUDEYB~|4JT1en996b)mJe7qnm@iF6ETmbdMq2-M(N~@_T_PwJIQ<8ax)#* zqSW)(f8tgJ7(<2S3L~UgDAHOG= zBIgQSQZUALl+>p(t+Lt1rET$~Y$NOB4A(al_1RcU);A|U3ae4X({n{@dh0bqzWODt zST`|md!U-LIZHMJQiFfr4GY2cYNYarvoj5Aq0Ln=)JN?|%-?O<=yI7Uep2x!b;48C z+omSFEZd0OEa9!b*2!Qqy?WHg)UZ;GY+v_>SHw9dZywA{eUrxD|$GnWi)-(HTUqYM#4vBZ1kJNwi^L>1-eU;jr8 zr^qpI5u6#fM5DR>e*n{t^{ zT*$#KP#5?Wg5gIgCja2KCM}6F(_}13sKtaJ2qH2uNcci9sLZP2k}!7Bb}!!uVjJ|1Qv2{d&%oo^_4|ann z@p^)MJcZ0`GFd3m!%gOBlb|@Sqy$wimuLHzW?XSn5}OsLgxzUOdRQ@7C3d2&i>IT> z$f5SrHte6#b=$Xtpw9aE{OQov?AobR)d#$I{>QuC!`Spx1U0@B=2u5+Cw`|^<5CKQ zSjN9iM|s|tK9~ZMz#P*U1?YQaYH{RX_j{-9I9-cj1cJ|=@oO=T9E=tkNmR_kJMM)e zx`S~vd&adu07Hz%62|R?vmNzMXEH5-c=vS!lcS}ufzDBn!UpE35$Fie+~^esJMFgR zb^{1C#n0O=sdHx~18tn4(>H)3g@z>!gA$(iNRX%_Q0U%(Ac%D1Tn7? zJsVO~MCps<^ou4CU@K_Wp0`D+qg*VZ7n1D&f~<4s!|x@&mRC_Dh#env1CI3SC~&ny#RVvG7JKgGy8wsdRP=W+YFcJZxVP++aVAE@xzVD>*It98b#oO<~lpEKqr{_ z%n2~y|0q81BRW<|a;8}5=1mFk-38U z0a6rRQLcs*HD9SlC4eH-55i=Q79eB)p6obU6G{HR{x#I`km$eBFPB^x-FK0^Fl|K4 z_1~}d-~kWl8vhB4dFz^ zUu~~0xp{w_&H2bJ6}R!pwb9#ZzyERfHurb7Z`!Gwcds=|e(hN^O}j>})$UGTIish& zDDF?}bjyQ({`4(}mEDWK{*UoUNsl@mOR!dXlQln%t=wx;mA~R<=HVUbFWNIsmncgP zn~K$>$}@wG zE!NFX)UFJ+_%nO<`hT9eH+WoaQhN^9 zME~Z;mcOE{#BUAG)b*=u)mFE~(>S8?4i&p4cshvh*ghSt^q_Z(a*Gv9zoJ&(9a}j$ zWgJMgX1ZGgbMK6rCVN$@=^TTfh9cLe$`-JC{|?Wpdqs_8GD)RRNvcOIGYHJ6mBtEW zWaW}ZU-?@5*W)|d;RhWAmAa>DD_uA?W!#M6H~LE!mdtd^o-T?`w&E+Xjv*Viw>ky; zwscd<&P7s_gUB3pw%>!Z6sw+5f5(Ck+bp}jC*8bE&rG(L>0b_lPM29XnF0K?ty*8* zzn1x(`kduP?cf*w0w!v#OC=*!@X6j`V~}HkL&8D`V=Gyjbz*@>!pcvau_d~WW^Bbz zSg?HAt}Bvp{PJ0qjnlZXZjY_V$cN*9kPjdz4(IZQ(?HWt1 zQ~Jy$CsPWRDf1-~iw9HqU)`_i|xlO^GJnzZ?XEf%Knm;;+~K0 z|6s2DBULYUrI#z~=Kiy0Kc-#de=IZG9P;CTv9_glQF<`7taYVZ(esV@zqfnZ3#S*V zQ&(m`Klsd=*{$o34R+m9v3a9^TAe<-$NtFVs;jE`@A8(?-^=;g)83~_uJ735&o&>_ zp8S)ElcU;g>O=3brllLb#yRto=DiF5W^Lp)GgFspzjx~=K4@1jyRGDqybQ?H>W-4-M-IFA2F{y>Gy3I3b zhty4Lr!V=%&GYLL)7PezJ@L8xN~|HT*JQrZkEQg-pSNRO_S^{sZkHeWNP%XlmvpKH$Om~U@Z|G`|QHKey#Et;3U z$}81&Y1cKke!{HPj;~t9t=YDfKDpkFuTCkodr~{fm6NyiczKn-s-{=!tkrx)?MYkv zXL!nf^Q!bi%e*C*Xg#xPOH!v-YsywTzNc|{9zSfi*o(Ry?=Q(lK9p+qSLxe6pXHiY z<-XV(taiVeN)PN)Q_7Oa zEv~SSMw%;EFE%s!pZd>c=38EQQ==c9Ayp_Rx395-y476UW~=2LJoQC;iTsJF9nIxZ zjl7s|w^Q~`eMY3UtGnoOtZho5o`eNK1d}cl@WaAbvBfWzV0#7~^xi5f*;FPSy{eg2 z!x83R=3_BGugBvKjw6XhnlmYk4Tal&Ql6TMPO)cTS3Zv5zb%d*jpMsB2F9kdIr`fuUJ8o}f>H`VOPgn4Jm*YoHWGw4Hd9_#xPSPn&VLhrR>6F}(b{41ir*D>6&3-Mjzue^e-1XX#_;%HJJR_Gs zVM%UFPbQ_Q51ZRFT0U-6W+GTCTh%qSIH;ZPl}l#nwOwgAjQFMwPm|dGIu_?RGk;|! zmCmqjX*F}J%4TK`#m(LlzalPA$BEa`)<~z_RT)_<^OT2O9Fd~5zT2H4#gl`7dYhq1 z>Kr?6SP?@m@-#)RjTn>T_#J^X^8+W-m@-khsz~71nh^!(V5?H62XfWOo7yW`O|(ji zSCu~OdFU8e?{z>i3T8~64mOD($n1ieSi!`8Snx?3%XpKI*_V$r03{R5m~O%x@G7y< zuJsw4-sHb@;ypJssp`ZNGj;m}aDi@R8bo zxKQT%Y`>kZkyw654G}<2%1L-7pfVx)&TvW`K=8jwdmH#D%5!~qW?kvZp*=gpuncPq zJCoUD5*G47)MzQpGnplm5J<8FOsSPD1VyV2L9J+e>ds^~OhO1cFA(hbZmw zSX+~jXtW>((b98l6~t58+CL-)4VJd=^=zoM=e)o7J-_!I$YggiGtb97b3fN}e_S_B z;zT!x?#}<8yXY@;WK5^M$>16#btDyPY!IB~A4cXE2Hu)TEQ5@JV!-JaqF&~s{0~xB zYM#H>0|#hP8eSSWNK`uTmZgW_GYdk5pLEche|~@bVRAF+FSvqFhLbo}ze6THb<=L> zoP1)$pwHs+lfdaa4IPXs;3cSb5!qkkY!Hjm;9;h+fwUSEaS@ziH6X_L{NO4@({+!c zC}CkiT@9m~XC!cTZ(@v6-5%GAxNivT^eD}NnsPF-Dh8f6Z=rl=DRn3o)p zhuaj5>8LW6w*HnFQPdZocfxI!F_z9Re#EAi(>kaAE8%K+-AejJlJJH};V;x%p`tD7 z1zm(s6DHDxB(jhpM5RHz*+|}O483~<^_BXCVu{tR{mcIh2q~pGaz0OBjA`h9kpu94 z{+`RHov3-K;5ew0(iR4fQrcbWW7{drj?hFo{g<6=DOIkORU8Dp!;*fQ>v(wjE%1lE zCkIryPf@Dec_5q50de3~WG;Ri6!5{>Jv0Mx&wlVJOa_F2Jup`XFVX@1;v{1(B~-W^ z-9ZU+C;ePw^z<)W@(+ZA9dLC08*2l6a98iU%$55Qn3O((XKX8o9&UnXYyf->-%2IN z*}nH%Wc3LaUN6*Z<9~86Frj-={uCzMUZ@8IJr6FWDJP2r>$q7~g-S&4B==xzcw3R3 zdNU^HH$NsNCH3l?n1>lgPkM2!4^jZ$Jtf4_rY#i{oP%hP2gkpJ*gcHU$qXfv;u5d4 z$>;<(z^e$iK!m=-{R_k?2A%g1B!5<-yaHD3?hs2TFxIAqSUSw zT(4wx)zT<9=6u%tJQrC386@r?MAHGX=|*cNL731|YKKsh9SU4=cUmgLgh&o+h+w!y zdRq^H&~uD{uLRsTvbe2^WFfa!!kZ;p8F2`| z;kiQV{F96ZH&@yMmP?=$%Yd;Mo4|va$}*(?@S1+l7AYIfYC(j5qQf;Wy!(DQPvCbO z2g?X&djorPHCtk5CZ$-ja20&hu(rsbkzA8+3C-~$U5zWmspr<)fdMtmlgH)FPrEYN zX@xse%;R zu+(LfS2p5ky8>}t%8O1uj@_AG=iq!=Ers>~uEy6?mP9&c=~aF{73AY2h$`tt%1-|M z;<(NyI+S009igm5_uw+Rz@-(BkWSuDCwE%v$AVq z9GAlOLqZnzBeIl_K>TbeE=hCwxx9;UD9+`1{5>#-%ay7CGd2)0HN#32Zy-v&H^K`w zImsdB!3GFB)o;rJz7`3J?_FDh-g+uVZNq@Xnd)FO9tvwPxF;5oj7&yx7L zSb)?@d@l4p^96*E2H7xTV<})zqzH9lbew(ihcSqHr_ma%5)mAP2-x(ZE%|Ns#p@!V znP_Y5F4!WKKQBBduxjvhe&8fJu%FL3C5^})*`U_|;^710$>EQM1+NzWLf)z#nP1peJiqY9ZUh>M z!H>^8xuM_$kNm`Uk=_5FIsE@21oblO6s41z`-O}E%bnSK$)(R(9fMzt*jez<2n84i zz&VlH#s2`#iSb}T1riNU?hu1hbz_A(S{2N_qoImM)PNugq!Vi?E}7g-AbrlR&!I-q z!#TL7m}bu@2G1jYQkNhIXU#;gwC!)Z%Xp@1c{B0^Hh)a<4DuPzd}aT_nXgt*%83Jq zAGmKqJ@l>OHvOj*qReUd#dG!CCnf2S#MA#v=WL0{4dnM6bcYK6ET3YXz?&SIUblu< zG=AeGgA~*{+js~MK$SHT(8g@1pER>YG;kG&i~9dV+|}u1UitI?B=LA(Gb~mTCI1?! z4?_qtUBU~A7Z5KfmExABL#k5gDr-I!zy=aR8LQs?zUs0PVk`VZDQ!IRvSM0SMp?DGC5A8RdKD%xtb21ih4#D|-0>Bi)PpD=wpt5GAT8Ej-FlGN^gpsU=NQdcY#RWBX zqF=Ue7f$3{QELlQSmxvo9rNYI62(T4Hrj{Uqg&C;$*m_f6#V)I zWWHfCaeyIt!M;G6H;vs3O}@i2g(0tjHYYez&i< z#Gb{LvDe6=u~NE1%*)Q@_~RlgEK_4#prBOZHt_4oHD%lG;at9@)A)KnX?crBKyP$H zG9KG9$mxO3xV=1?Um_RZZqDJw@Ij||OH5Fgq`kc+r?q>P6LHs=FDKcJtKU{OD4A8=R3sBCe@e6s83O% zV0p3p<%TH?1mcYzrhY*g`d<-=L3@`B0%`?(qNo7SEWxgns~U znc-}eHBUJ=?OFBOH)cSifkPBMVVi`7m=2{%IgY^!U<82ybtdwEpc#(8T8?5(CY{f@ zRnUxSsoqX?^yEXPoj%#|ih@TjVuF)2&htq%pO?g>;j7bZQf3s1 z+Iq_h07WrD&g+L30{^Pl7${t?E@K>PZ7j3W&0HL->#1}V2PylE@TQ!VnH6ge^2J7{ z?7UpP)MlfQgrHa!*AwIrDXubjEPzbk9-CS}P`Z)Ao|-2)H8~~I*RIBq9xP_J>R^5z zHY(N3F7YYZ+H;%_Uo{x5X+j=!g~Sw5EM-Zu+BJ+uPLx@HK>&iYQXyDXL}LncTVca6 zszJ7yUSor^5;6?TTD1dA{IHBn*GYa_yBaN7KCn-Gm88qXP#)bQd`F0#DQzfu0Ibb- z1)_yQZg+p{p6c@Y{s!>@7@ZpUF<#!uA)#L7nspmX0Dl4?!Y)<0>NQcGUwLG1&YqL* zaWl4e=$*Qa^S(oBjA;4*`r}f>NmrBAuWUa3$INw~Efms&ed@V2$A44s-i$FZ2%3+l zy65uYY9r$yKXS*2PCf|)<_b%NKz!Q%O zFm)+X{KHkP;LQwggr)MsnrGSucw^R~JsGE=$FIb*jT+TPU0vNe0eFpHqtDGuuIk$92$x zeU8)C0;lBzr`2x)POGP2ErrwSfz#5#l)d6oZ(#KxXhrTzF5HgHNuSe-yi*P>($P$4 zot8oUbX0k8?`SkquQo(4?F!s9xIcAK(|tp^YbF9q4`5e{9UuSWll2`ZzKsj^Wmeqy z_8m|@E$SI-<*)y*{nuUp$}yVhFn8?(_kkbmI`D(lTZrVI6Gw7p@<}k_w>f+I8J%+^ zwh{Ct0Wmlt)C2oZgKBC!ZxYbiYF6`d+G#Cd|O0Z6BLp?iW1 zCY4Sl*m4Er8}(vM{XX$*RoYdh84=h1P2q#`ReW6^$U`)Tn?^GM0+$4+l0OCf6pG&_ zNylLD03!%b3`7HCx$2yctUi1Vi;1SAG9`HZ-UN-zV>G&xAhAL|d{~3vT^5G~zbs>N z&?fF(67m_~IHTo2o*DS<_asp>1>f@^Fa^`0(oUyQMFjF1v#^2{_%&afUM_Y~-q9H* z&>qm4Ul^qEb18UjfVEK_A+vgqS0O_Yu%AYKlD zRf_?$W_b&YnmUXb8DCC z2u(aIG>&xJAQ@Y1b()%0uvpy*<8xU&XTh~UqAh*XW+hCAXemjs0QG1ktAqL1nQ=G5PG?=Rk&y42paLO2b5z+J{hU zx_qal0-9jF!7fQV6OV{a#uWKts%DO5u(Xr0p`sRAkorgg3a~gXxV#~z7M~>M&P7L3 z>Y)%ci#>cfXPORW$H2*&M?$|FjMI-%z~JlTl_~(f-}7m2h_z6aza2%Le9$IgOVv+C zt*|L6x&eGRGQZo8+KZMWA|QZNh*gUdpf>-SN95E`y#7WKnXS|<=VRu1Rk^>s8)rL92e zLkX&Ir_@u#nu(@V#Ey~ApNZodzpr&f?Z8cfNPsSSp?RV2ywgagX6dRA0-19i@O|Bid5x{O{1s$I(K zN@1Mk0E*!s`X=@A;@Z?19;UBz`NN#pj25=Cl`R=8x)Zt@h5;?5Vs(Qd$AUPLNY9?? zvgDLOCkz`5GUY)1g)a1Dd;F(m4}xRY$nv>k=&=w>vr5oPpn@96I}Otp7JWS+yGBbL zha`1_AhNQr?J-4QX}6NWS~g zXn_9_7dYA55!@;^kC+W^lMXxlK@G|`sp+r)mdbL6%iFS}D zSC(ygK+YUUl_x3TFLNR?^^{q1< zYSvOB1vC|;szwH6buMkH2R5xSc~0?F%?;wP7&z%l;4)1$6)UNe!(@RlbaN|AcCU+V z-P~uQxIr9D3)J+iTUi(8S4M_b{yq%cX+>+E1inM2YM>f@@f526g1JnZBTF*2ELqOn zDDzOiJtv>;`pRSyt)pc3Dek)T^!U)}&*{uA)1C!3Q8U*O@R?C%(jWVGkBHw-AEw;o z*Du{x5FP8kF?Hha9?!BagPt2dM>7l4|5yBIbB``R;vDDzOH2?fF$X#>=Z&N+F`rwh zo^BwQUPuQZNe7C#aodB*Q?P}laxSNK_aE)4=idGZfaH%#Jx#$i6kI(x*2MpE@W34n zQP{Sktq0a_T75}ws;?T)*$$0XPE#)T&bNVm{z$P8HNEYVsg^1SnyuoT{eeZ76Hcz3 zOgJgggp(4KPPtNwE#`-Kn`lHa=9&~;3>J%U7gAXT3UiW)C0v;odA6!eO%Qf8Q7af5 zS8#~>FCzYYeGl(9lH_#Gk^#UW2W#IWD?FiCG^gl7`{w>{Lj zh9d%69oP|=)CCxp#Au)hCp}Ct^j?;wb-9YhnG*Ym&AR|%YNaDzqiQwgN?&7x;^h;b zR^Mim0Z$FZ8_>!SP*K3xc3Dr3wINhZlsYaY-6c8?Xju9lKXvUbaGnu&^4eFVJmGx; z4UPhg<XeMgK5)Q<}nK%`C@i4MM;T z(>f?v(pELFz1$G*G z9v&-x$U+IIeb}oFBbN$lglhK?hx(M@k(*a)Utyd+8BhlXZ@B&_y2DECF#6RGO$iAu z_X3GeU#u=y0$#qa5KqZq%DsX0MuJSuJfvGhF<)^^?S#(C0;!4T#cL{UL36nr-wx*n zPxdSrc_XT{MzNJS)|<+yjn~~;zR6t!wBq+gryALuH-n((1fPV`Q++`vJM;)DO>qju zd7kR`^{F6)OOSiG(bir};$sez7BfiHcrVnGLe@Sb5P=PIG=5|d?k-CPc;U8qcGBUA09 z+8Tips5f(lcMvbCAGz!Sk^H{%_x(rI$ZOuD>d8}$)_lPV6p2i-hTFQP_$#!} z2|YW7kR%GUq{)j@&zC-Cy74KoaBl5C(RvZueP|=z3Pqwo7TaVO4dD;;42N-&KG>uT zqaC~#T2ZyX8btQt;WTHRX&Su2pLDCv8cAbXGc~J8B-O)ICq{3T45ZSU5TXe+ty@*Y zYK@gQvqL9uwsvu(-~YW+{}dI=r?#5wU2O-}VwQvj9MB;uBGHx`^v#HCQ2ew~b)8je zQ4pHxleh3Udc@5glp1{N!Nz~CEJOC6=pm7%!-IFAd#bdbvLr30V78=PQVBm!!&_$< znuj>in$|a6tIDVxWVWh~CRQH_O4F;87ShArUumq1sdLq%ZZbF#1O~B^8;eDKQxJ`u z7k;HFP&2shlE^Dc*v3q}Zl#h+-)UfF+vj8v66U?pn*TbYn>B-j(dzR4nGVf|*%iqg z?!E2s(MU;gL4|&I_{5-9T;mR%+`Dj&F?6#V)@$5-X(j#9*TulinaZ2JRc>QdWRFp8 z9u53fN>X)(9+T^&xZxM@6uiiBR#_*JXwBYJ0D94zT_>4_#GBPehHf6-LP=khp$WC{ z=z^n>;!$iB*OIl@%Y@P z4{v!!>JA?tj#C3Av@>w*aXa|%N*y*$Xmnslqt>)aJ(VexL{s_DpgLN4EA(AG;g}6J z`kP(2ClR9`{;$z-HG;ONn?8~PGsN2tfM>%91rnTakujIh#r!lKI2e|1M{TXojS<2tNT+hwF zXWlqpdfDrz1JI-E8(;ML>EdUefx;#_sj#`xxi8rUjSKmF7eD$We1&L|(aYIJQ&7j@ zsj}v83LEFX59c}cBY-PFI+RL}YI#ai=bN~2s{*7$BF9whP*lqI$2|bwA0&B6`TeA< zYw_1!42)+iWBfLMtKJPUII}0Mx|bo!?J1`G!&iYA0OFW0dC?PMfgLabLlj`?NFZymqN*i^ zCb)3`c-8Q$Rpthct(;M@>q;<{Sr$M|z(ernTZ4wbjQYAzF@XP6uqC~aw~pW8ybdE4 zL&eVvrrQBaQt6`iG+(ELWSLL_FnNk5sGdQvq(OgycOU8xtc}?;b~+iJ?q>rYkr8%# z9`*u;z!Wo$;rKt35VijEMlDf*2VgRTx+FW_EMp~TW&G0=zLYnWxNT@vI^(q4PGysZ zsZy~B(~Nn7AW@og&Fr_X>Jug2GK@ZfGk8gcS6r#?E3HVNNagmZ~Q(^CWr%?!2?{*XcFouPnC82kzH~1E}HpX1}cKja6dAayYv|WV1-fD&(x0 zR#?>)Zz-{s2b<%P-?ud>$wVan$0B~OTF#LUm9YZu%$`moq1%F`d*26B*K~W0(Sp#6 z?#{PbxIqC%93{x2NM5W(#~6Uz&FDXe#fZa{otnq+NT7Qlm1duc&iA3-eag=sW;D}Q zSc96*fUW&qu)!r*I+x-*8h}xYkGKm{>X8Y^v)BZ`ZF_?0R+ zRRQ2{hY|#Ws#ryJ2O51Fc!g!)h^v?huQr9A-v||wh*B&d29%ugDN5BLlOis^Z?n!c z^OxTF83_DE7+C6{I|7A2-|wH6w5!|%Dt>t-L(6qih#Xb07!_F`JX=-zn1{{hr(t2k z66(l=<{FNsvJ&2e5Q`F!sg|yUXyNn@#HiJsK~0Gn=(Y2_op4x%E{Cj-34ZZb5mi5r}YJsraCPWu4x)3Wjtih!GDx46+oU8&NI#@KZG! zB$}znx~b@h8Y_$>t4$S|Vt?=S0cn=AnkSSGm5#qi>~BxAnpwCqn<9*hgJ=NOVX5KZ z81!birHbLG)#(nCdB(o}7$kudLiZS0RnX?-@fq;3f`yWxS+G#n;2=OxwFwOx`WZMs zTJ1#5X_@AF8;Nm3y4N~C=Y#i+3)N*qPGbYFpU51!m0G=4ewckKIlf9yo@|1NTOXT>{dfSCqiKq30Q}2Hjdc?>XA)6 zX7HtvXw6{xM&%w=IEnbOW5=(1&MX_hC3qJvy$|=}Qr5VQ)Jql^+8Qs2{Lw%bX}-s- zzVln0wPzwIwz9{d8%kR$lA&^%+;uqVg>Nu2w0fQXewua;sK`4=k~cdgx}z>ti(`XJ zl_O({IwVZ%ho$R@e<>MTdGi6|?aaFaWAJVh1MsB|KqJrqBjzo!HfNo+Iliy;HmhP# z*c80O%C-7~&36l%#Iwj}bEK-3%p4>zYxNuDn|Q!4AeQ8b)|g`<>n%+ZOM#bhG_qA` z8+c*i@nK}18Q3DfR-kT0qutiy?!Yp8?#g8=+j{cBtIy93WW}?jj8pJ8f^}~^w(5Y= z5WEx&^dB`gJ63Wm$hVHP(BwC*XmavSU_7Lb6{?T)Q{xsaT(tCR*MYbPAVTm9h zl+YqO)e^f+=Yf`@Nc29ezWkEsf8NYp zSp7eGP)EnV0J;7l;mwhUj_ViGqX%9c4HQ$;*4lIA<3RDb_{UAl+pwG7CVl+ssweh* z+!TD`!_i&ozZ}|s%X_eDJ@#obcQW10=Q(foA`jjX&L>+JZqRoK?S;sD(} z%Cwb)<#!SnIm9WAIhlC*s-4RzaJDM$kE9BOJrZO=Z0%HVY4mJC!7#KNIu=l(VgTr* z>k3pkUJK3?OfgG^2ZTUsk3bd-a-Upj%E;Uydmx;iIQFG&Hbf!MWJ5=;!(c*J@(@1Y zF@@-wypl>GNJu8cD{vW>@pXjc?_o^E%7D!$!7@xw$m^F3a1evhE)Q^^F2q}2L)HZb z?5c>LRA3pYmGdMnZ#r%XN1ziOs8NW;wNAuKXAJOBCSpChs40XuJP_#~14lIqPnaHR zF2WZ1>N_BTgm#9O3B@{yb5ShoKdBa!mkE%Y(J3+)@*?PzbrfEk?&B31WNa5UU9u3NaBNMGL})d4ka2?eS69wrw}@4}zTj<-ctL z9GbZqqx<#?FCQLUzFChnkl~FhhM)ffnfFU;9zbxsHDmPD!lm)H^>8gaE-bilY5%{y z`o{Fl`Zl;?ZrixxY|+cQk@2!PC1gKC9m3Z2Ruvx4Y*rU6kYz zRA60Sk{o^RnzD^29+fLp>nCmfAG~_^?4}}N@p^ss-eSDczi<{MJCnIwmwSCl^Thne z=JedO2QGT2#O>(|zQ5p;xLe#naBk~zeNpQVjx4hNe(v3mWk0Z@1#eT@L@v1wAG;iA2<*t6f*W$W9JM{n7rJNrUb7bVk{UQ;g4vVp!At^9Y z5Q`<(U<`_gWvDzd--_`4$Z47 zD3Feu9*lrWTN94}Wr~JjGmch;eeB&C#JQ)#bx@=1Xw71Oy z=arkWmJt0_Zj~{eHA3Egja|%^TZTKu&y#GnxY1L|D*^<9IJnr;bN`AnaqYS*8`n}J{`MUjBJLMVg^1{|Z;P}?Ftp|y|_$eF`W)p*zD zVS~z(7ajX&#uTyWOL(C#^(c7L@_4`9O(tz-cyUt~c`V#WgIOJSLOt)i{tfzxMfkE8 zeugxl&F?Wo7V&5@J~yJ~^bq`IgFXdaEX!2K9pc4_tKSF-u%j|%=c51W#B^YS|hl2=1xCwM|$3J-BAP$r7NMjt19pfCU= znSPf6OY3)i8A~BAx&s(3XrMoN&6a>|{hkC{>tK9f)22dm2MMNm-c+gjF63eQ7ASCmrl4^8xYQnfT=HVrd5|M-4n`tpXby1}Br zV5dvscwgl?uc#%3|nE0{K~0=Y5H>ryk713efQCG{T!T%mfx9$h-g{ zx<=76XvvBm@@nWc4Z2|6BUuI6=eW$@VLNKi zQ}XUL_Ex#av2q5~IY*wYK3Lt8zoeSyi+^mqZH%s5dEd}ofx80r>+Y={udc4iUci?* z-dyD%|Kr#=UvO7!j&MuN44w*eW6Q;G0Y67bud#B&7xdQ;BoCQA#;P+)G}f{&r(QyL zC#UY&L_|-xW>?RFU1RbybEM7o78&|LwLtxmJXB_i6KZ|q>&}vBNi?_z9`$=>R45&> z#h(ZP!24S}7FS3=)}y+R6K~qOjRxy2H{ioQ_BXv9K;#(#khES{5L|ZZgHyuxv^VblwU|2dCOBsO{Y)B$ zM=hBZ+&`%9sP`8A`8&$}Vg{!p3lEh4IS_3txey?2C8K=&7+@(mwhoT!ZP-l*HXT|u zd+6g=VzZN{zI|`i*>7KfQ+&z4EdOldiQN402Ts7_>Vd)B{GXKnC9u3r`NUa%KpAtU z!{WH_!Vbc;(;aI{MfyGAP+Zys zT-vmM!4pjr1$+PPsd;;URNQv@#UP;UkK)Jd&(h-+UB327RH~OtBy*IMrIRFrpFmRr zqa$Y3B9e)BdA2i1EQT4*lD5SXSV^kDnp7C2kmy$VP1T+V%G-FlJnzF&0e_tLdhtM) zohOL8*aXKP4$@b~>?w+iJ%IrTQ!(svP(HQu4g`e9(sEe*VwlZxyQ*4B(t-s>j&Zr` zka!7F1b1MWGE6xkR?;QRJ6To;uR6psM5*R+pdd?KZe^ebOpgkC2-AGSad(CfE)|tY z9fkM>*(fsLZ6h&#q@BY=lkjGHOr-m3G9=YwGmBZwAhn{rRgy4KY3s`z&9n03Z~sPt zeTUg5G7*m?u8*$a5)>DjFiJQkBrU`<59D}jfoMy$k_AcURT8L^7papf1zU3a{_>FT zO^bb+twe8Ou94br4R;euAbn<@&S(}COR^^f7cGx|-Y{!38{Ju~8(|CAz;Y_I=+GtF zUM4iW*X~gwWZd~?2)%?k%)>+WqMi!c9Z|ffiH_8POvv_zbeN zjbWx;h)QfWXWT)GC9X$W&bFHn=*8?TZWm#sj2hQ9pf3~-x(M&$hT>|-SL-k_PBHiv zPa0Xzt7e{4>$XZDS;%;u9JahjcECjWBLr6DuLG`WY_;FBcq;U{b4`*Ebj4@G9>+?6 zF0SQm4=}D)WGk41F&|jxe^|~K0&IwDEX)%M*QJP{@E=S_Y(nz7PfM^!yIR~$cvZo1 zvuz)=nI{liSVU$GsNDO8>Y3N;GKtsqo3C9m%R7tJ6IVttT_=Dk4B!P=)T?bXj8Qmf z#+y8jrG#kjIZYTSjN7Qo9{6v;-pV)?FI>Sa?&tjoQAFG_APQ!_ozNaLE*;o-!k!S4 zXyF%jbOVcy-S_q9uOw!0J;(665@MNJiP1GYJ#Xrv7X|31}fwU4{+@%a4(ZTTqV!rib1O-`0I~nW6g~@2n~z zL>(T17jRf-n8S`y?)aDl9n^S%x^b@LFnKZqOb6>WbIm;{zy->IvpaOyJpwMwU>$$V zbzBS`YK3J&&@RRto{~vj0s-0j%+NGE zDwH^PIN^g%7(HE^N>%~M;#v%oXP^H*kgs>Tx7bS`D-`H-7n(7}3aQ=@nx^1`593m6 z5pCBO@pVJSBwUd{F1a!JvH82otAz4Fq$w#8m<$C-rjRcaScU83L-&{-tLEsk#=$bp zYb&!>G>*K@-o)FH? za3r>r$ZR?Zu}UAp#1J;i)-e8;>_T^7v-%1IL62$LsOL_%&vvqR*nM_nLbd_J3gjm@ z$=$mow^VEz*z%BvsSteptgw$m94gP5d5F)0FP<2QJ9?;SnD((NNsg27HT&G|Y+ur2 zD+n7gbr&m&%3cZUII_dmHvXn$Y(;g2F&-;f6n=7OHa9L*&#rbxi%{A2&1aKm zS}zThZE4-+?(wv_8=aWC=S3H==qdFFO3PW-)H~Iup4nnQ)!4Fe*Jp0;lNaTd13x_| zezy_+QkmOI%;(>UU8puHlnW)xbA^NCL*w4+{Ahad_0gq)da0^l5jP?oid`W3^)r}A z$MkFXr_Y2htTNkr?p<~^KDun#xjpZ?<8Es_qjz|7Yp%OsVB6~%C)`8kq2Z6zd&*RE zqy1>hww9g@ccP^wONEzujr_DY*5bD9`j3_YHF@@#2U=cy<}-KKf!;p_#kS^&COdcI zSeuiZy=2UYu8v-qx#q#b3st11#F!lokLt12LshqCNm}87?2>zTcgtJs)?F>Ry|G*n4Ay+ti;?k0E4 zZF#CUyVu=j&&9tvF0u%nwO6TGw%TT&c3^H$s0G3TMJyB^$of%Mk*UMP0=-*UY(?-peI zeDTPlJrlcH59D8Z#+lr4e`(!yj(f=++xDMZfc}2wjtxCJyLHUze4=%1*Y+oz)B0$s zo4aJwH$d6Y`HA}YoKmPH`FG0UbYCA-2AD{JG0)J`yux|16#nwHu;NUzG-Nm%jk9{4 zqd2BR(O(jtXgzMm@6@p4^}GC?x}{i1()~JMq2Jx~=xLSnbu&frOz*71rKX6OH8D}8 z%wiLqe#PPpHslq=KGDjCd#l+U@=1+eE5qAoy8iX>l&G=&x;Pbr1X$7I_|0n3_KQ_g zt$bJA&Q@`{#a(HC)#;3I^S$sdgTD{Kc6!ay$kz zDTjwUcJ~NU+NiG2)Eiw6Iz!jfReaj^a>g>T&Me;5AA#bo)1LhdYj5Bwj}+M{sD3P| z`><-ZJFu=tG@oNlWlURh6~Sv0vBjVW3x?y60=&e7qMdeD%KI8aK5dP)J|S5id2PIo$-t~z3zdNjHG>iIxMqY^%tmhp zTrTo-MS`{4mKYbaWTVIO59`K;n0wVVB^j@)m3+!@nI33X*gILKobxcVt_0Ba6bqpF z^DxW~X{WLi6R#IjeGfwSStW7M_CQO)7YTlT;_mlqi5Mslf`cSz2VBP+T4CvtU4o;- zu8mL)i2}l&!a%38#GU@IlYC6lRA{yw_?0V8d-PRU?qt>1)GLQvr<1SMvweAG&7LD^ zUnW_w&+9TtcrysxB3TLk1kSa#x7LFC*NSj;Ae*WRiR+OA&nrde9l^{AEy=SY;3XgOA^VsBT^W>V47FWi zB!-Bw-cn`9N=yZyXuA@~W{OYXo)(}Ppoq`r`#LkW z;f%1?NT86NoaWn!NGdojfp{SV%X8q6i{hZs!S`k#o;&p8uwip5Mq~mAb;I(j7)fH# zJ0UC+u)$Z;K|z-_f+z^3r1XUV`M|+0v(rtm?{d@70N}naCfsZVX`+~TO>{L}f#%SZ z*Jw7*Ygg#0OmKA8H|SR^<}8K*U`Jul@S&H{C#mMqCMK+2(GIbl_M>{L=&_k8F<}q+ao?sEz$d+P8DX6O6xEua~Hm>*cYpf6_ z({^ZZMD_ZiybW=xH&4u&9P74M)tmE88CcH*k^9>_|?GxTa25_nZ#}ngu z!?A87&CMr=ziukx4%ej?|BNb!w>u$;d|Mp=e3yyFTD59jg@MugrgQoRIIK{WCe{T& ztC9mP9^@9B1z_{f#tPggb=#p1*R^}ZT32irm>t>85~^f#g+f&6caB_D3Z;E>fBnav z4T4yN?5jo}kB8WY$4h)6sE(jEv>hay5*@8q6pM$&IF&kiJn1gy)=Pbeq;jR+hg$Bi z)#6>fOIp%}BfWC#DT}C$qkGRPA6^QkB`*bU{E;zdMG1-YgH|DM9jOUy3W~nmJr~UX z=swT$Ad|=-CvzH+pS=8N`qs|2rzg^z9%_wSxy|NT_hM6E$A`*9u(RUq$g+ICb;2%a z?dD2ITld+gfA{q0xcDc$0FPQs8p0qH1$-h9Tg5$m=}ATZM}!j zTBB(T+LkYxF*p6y?xSMyNbiT?GX{5v=VE&CsqQLyPtGYQ_yB2i?K!?mPQvAWtEjB| zESR=5?T=!vyQ$@+uCt)fcuL)3wMiFSx{BeVzpW*=^y{|{eYRsqGl6wBmy9?ETmJpg zi;t!)>3H1ERqucFwEH`0V%MRP{)&M$q~eZ0kclS`y?XfAYd?CVtvMV1cd%aXX{!7` z$KoUZHI^nGP|k$kUOcimAH)`;(X`v*2(|1U>1_~UnqD@1ASw8eYK#jNC6i@c27NHU&V3wZ8jQ-b(%Tn8uX-2c`@!Qw^o$>JO4><>m1VdI*{WL&}!WNyaJ_Dfsr{y$zU@^iJ8j@01x2PSODG($N}Dp zEO+Jw9QbDX5c2`&Lj2vyVCPr>kcjZdDFq}mv)`8dW5k_H!DYPN&MMu;8%-YVkOOWF z=SV@oZM+K3);xh05n4d#e2hFgVOj~ILij;Vgr88{6+`(pCKk;*w=1zjAq5P{6qQcV z7MU5NU7JIk%GP!pP!T~fgo~MCDs|yZPG==Vy=%T&3r`EanO6pCg=AXzV1#9o)lBgK+9f zu-Pjp*_tR?{!Dg3mF*uc74w8;Vn9-%I(3L`N;@1aE*ArtlTPQ&!qTfkKGhC5uI{y% z3U1+EhXb1!6DXyVEyDr%_yJMz9FKStCylrh>NPd5lmHBp@&c|BVj~^!g76ul0oy9$ z80Y`8td`4gyon2NeR{;e&=G1PEJBj`Sp}3Ptv$$zILIdpY4Xf8vI7}PL6vN?mPG8@ zVQ80f%BF1q&QBCAL8y^mZj>d#k=57Io%7-%?!>wS;Zd0M5!>unBhqp z;MShum59O^$_|FWB@kw7>7(ON$XPAiC%<@`@n_)AOqy2`{VdPvoC)=5r8HwbpnH<@ z7!pmo3O7mvKtG9a5XJN&1V=NJsUhgBn><|y6Nc0^UD(@iRC0J{tvbR@H>&u>aY1kA zg$>hnbG=3SJFb@$9{%90e=&Dr1`F3}G3)^m#UnsQ1UYF76j^CD3juuE-NB5}M>h8b z+IhV&B6zWgfT28e%3(oFL9136&{-fOrQL=`4_?}yh_BU0s74-$f(Q6maKwF1Vy9w##dT`!o9 zrW!;jCb65CO3u%Q`awSH!SKRzaHR}@=e6@JJK?FAIYn@0z(Kc5?`WN2s zqIfl^L!qq|-ZZ%@R%+-t9J=2V++?wwIJ-|PrfqLBNc9o%S zQ{F5uP?(+8NAte2V#F~rs^ecX)Ad;m#9@t^<{m>>SG{7!#&U8x->6;@4Q4ioRYkK9 zz0QO;3SS``IE#(;+lgV`-Q2Bt!v*$j@sjm?lU!vW@#qzDPL*N1k^E#UyLak?$z@}= zRGb^Xa7)F3uYCfGtaD*@#b4hZUtLl^e&Wu2y*@r(yz0FZqorTp+}`OkvEzm8T7e)FNb9zC#rv@B4u{?bh+p7`j*PbVIo{nu;X{&mea zSJ!O%!Ka;e?(Lfbp9In$e<3&fs`~grdxDy5?-xF~DOex>m3+VOlfVb@h9}1r2bpjk zr=ocDkWl}@pWMgJed~A4_g_8M`Qo{?A3Lpwe|>tqWaVGaj8~Ms{NwSPs$M>GPx)V0 zS3J1xLPh#r{I!39kKT#VDEUFpxq}y0FI`=I;->MXtABeTYu&%_KmNwk(7G-fy?XY) zRXwq3Q^%)2Y`IOk@AkWXS%2ZeyFYyS)adqK-ZwP#FTw@q2M?+vnWIfGYTwqpHJI^Q zVC}EgT-@G;dg`Owx8IhX8~;`2==PuF#_zulq$&!)`yrv?_WKuJ+VS&mJ<`_wC!0U; zhtWXK&t@s-PF+~N?A)nOmn^?!$$>rNt7pG=&xMlJ=j!gg={NGot)qO+dvA}6RsWKH z?w(6Gm9_~dR-AZ#ylmCE?uM&ByL$doe(u$v!~5yg9WNi8XcHdXGtq=PTwGjIzvs`L z|9b9sqZP;Qt6K5)iiz!;cVuPPuOHpMFnE8<>C%xp*F_cX5EhK zuDh;Y{w$!B=<~4fI9>XupQIlfxVV2;Mf0z6F7l85_3n$xiQ=2b9=vz_mSuna@rANg zv#%Zh#fAw}U_9(tr5!$%#N9cl`^2f9Ef~`s%0Ee-74Xf3IRi^@a*Q#Q(v%8-9F*)x%lSXwLRCo7}#E){VV;V-Bn-w@7Ime+Lq{8COL&zCOy9Q*wZgI zT@+h#rHhZI-?rrcYz8?UH@;B0hU^|CYd@W_An@nmyFW}J>!PJ(_DkH@hrgNGG4qwQ z@7?#>KvwZJ{Vx{}9Nsa8lbip} zzRzYxpD@S%^{t;G%=lO4XK(-K%Y$QG5B;07|CjeYJa6Xeu8HT85AM0xgE-+o_inH6 zxYUbJe{FrTo`WLRdFw#w065q?l<;p^@= zFBLEU@{CSlzOr7KZLJ%YI47Wcz-rcbi1R*TAZnkRUd4-28hcH^$Zur%fR1Uizmf>$ zQrFCOn*dpqi@s2mUI~K~$Y7t9JA@v!O0sgQ^oomLZmEgQRG4%w4Ng z*Y*5qAe&@f@44@L?)!6pzn|~toAcT;@DLSg{aLp3$5gCKQ-kc3LB|*Ze2oJ4;-a;O z&2`bz4o&E>%iG=a?S~|C|J0g4HDVZLLyz#gx~OlpWzA7(_{Er(qwZ)* z+Ets)gj7MMiT!&ud+W6ZlS|Fuwc>5Hsr_1Q51meP*(9~N%(W^w-O2@0SX^KR?vpdx zm6CkEm)w;QGlSZ8Z>bs{kaq^{tbSE{YX4X7b$70{=lE|GkeGuTxQXUyl`akvZ5HNa z(bHu1^{x#n9Emt<6TTh5LE@`&{YbY?);?+MZ5x9XS@)f_?t= zZbkITrGY?@iE*q(ii_N`no#Hoty6u~T`tGzwaUQ+)L6JVnFUEc*+vVDQW%Hjcdb&X zebT+cu8m|3o#)g z5lV^3nCKYpYLWyo{X_DyYE4E+Q92wmi-PhbPnWHR1(oF$t7(Q;W!YW-Rw>XNnD9K1 zNtOhH2<|X~dvuX(JPiIpjW{O>FSDmI4wnPFrpB;fivapD9g}B|~S)mX0l_6ku3KEpc=FZKgXT2t#t0?RPWk zcWl#PH`QvATyibCrI^N2%$j50IyZ zub}~+4Z4q_+`H0lO7SRoiD7`m!wyQwePEj-YPxrKS`D_3R9>%3F@qE}Ni+M)DV;(! zU69L-5t(}9k}Ze*WIRUN7u=}4BO{{?H=XolE6JXuuJUaSCOxk^9E*T_Ch11&*wxDG z_6Lqt;hx}4U=wNn<+6A9(4%>-3Y$BDICYh{y1=9j#Hw|KU`RgqL5n1#VQEfw z9tP3Wd<#o!A685cj-xCtpTb~GLclc+}5v{h)5!HQejCz zN`exQDWHz1f`#KDNqN7eB_d*i^YK2H!#r|2E{8E)cX4xUJuc~1l9v8gN4#lQm-&YD zuT{JF{J5z^iCu1{6-#pNFvkMA;*JFl20!8#j=T27n@nxU_WflOlD1% z@22-jw|2@e9FnK0se6lp)u$I9Qu<%I?qKP(#~ZDRq<=K)9`3T=BFgeJ8ADL`gvd83 z5%XFqq)8oh=Q|D?L&{M5P&6t(=}Qe&Mk~H=`LaSg_S@5y73s6=WfFG#o=Xu|vnvqa z2tNyi@Sq`{u6Bo+i=~q{-Ax~tUr$5F>!?G3@4WtB&$1~}*OcAGB zW%PAPijr<)S-B*}n*t5_GsWCP!%2zGB=gP`b>0vD%kBP73?cqrYg!s!49z@}RDz|p z!dRhbNb0fnX$65#L&@~C_QQq3ce6v=hHsd>8dhxw&BD9dE3p4F23>2?b4ec4yQ|ZXF;y8|CQ{Q=!SEXkG21uGX8rC4S-;7oPd?uyND#TSu2H-R65Rd>eaZ2^gx2S6{l?GxUR~a&(ON z|2*xkjVHoJ4*X!r_J&KB-WYr6fhA*i`0L&}`>C~a(;d;@-)5gY_vs#a_uWrs zJ^8@kce20J^~tpCijB>!QtPz8-0Q#a`4fjO{Lwgl;`GIDPy5Tye^v8~B^USGdmkU_ z_~7?r?LU0`D*b55(&vwyy?P~_xnSPj(cv{~mYn^#mOejk)R;C~X%Rp2R?dt)f8^se zYwr5^yJYCC*2=3(umU^t&7r4;r!6{Q{c`GqnU~HD=kB=@z4GbR^N0PP-rK(IjX!s9 z`t;KW?MoN$n7ZoC?l-QEP1|!RIBY*~spr3C2roYQgIBWgPulcB%c|CUUt9m_y;<)k zHhnNMYsRH_KU=6RNsbiFy7cJCtQ#*~```QbTx$I7kB0Y)vp*R7;k}!_QDWY(^Gfm6 z;a2YlM_VdCT9JF#(6H~O=Y6BKB9=qSY%=Ptda8JI#j?A)w=Ny3jKB3Z)?!PpUKslG z&=W1wKF!Q}GV{S{2Zr~a+kW8B)24wIeDm17_SNCxyB~bkT5>r0(Akv_9=Q1Dy_b4E zZI@17{B&A+@8ho@8cuh-)PJ&n*uMAu{vWi@PGIeI^4xIyy_en{nf0TS$DYABam|%O3l7P4@O1U%TP+8;f%KpX~&=f4!wXcW>#o6OWWOBTl6G&;7aK zv2)WhIuE>r`DZTzms+B-S_F|rDy&)HZ6K!{Uy?R+b2`M{kHZ|Zq2`jPChrj8aSNw z(cp?jsVf~T7Nqumwsq;)p`~wkjg_2z_UMiGLN4(-;QG<)elWZ9lbl~6T>k!rOG=MU z{m5Rrmwq((uZzxpWZ$zWb?(kP){JQxFqoGdxSE2>wZH%5d!GW{;p5yZNT~67df}4m zKE8Y5lI=(DcFg)GKI#&m?fA+3EB37UZ{w^VUP=Axhnoto{9@0w(Y=2d6E~my;IsMB zO?zs*QH@lJ7aApgZn#9u9YEMlszf~HXa7aEix*3y$7`ZijW|$RchQI@Z!Pw=Yhahm zCh?6MNK+X-PfGt~&poHKW#)Z$Y4gQKih%pu>6?DAa;18+a{K;KTTI2Z)U83g2*G8O z2K1$iz>{2 zeacM@_7clZ;(-_1Jw{u7wy8a=`2k_`9MNtW>u4-X1W7uK6`FK-kBc0!|GIP8tVQtHBc06 zn&#WLOsVoWkjgWK?3gMSpHi*E^q5Imz5sW~4soSa!*;VsbuE`yXFWll3zE;`hmrhBF!JF1FU7QMAGHU&9l#>Z5o-#bcs=KaV0i5x&s1uiFayp$sye6+&fw+Qf1&Y)^n-G|kn>f1-q)(G+c@ng=xICT_ zOKEri)Fv>W!^v}%Os3=o-s9Eyb7df)`=!@|E}xmO`y`3mrO+Mskv-)EVTXcmy(xud z{!|y=Chy36g!arNc{C)oQBBGohK2jlmR>Qv5z!~r)27%Or^n#8LC|6{Y&Ke)Mw#N{ z15*H`;8x0@h)E+@-L`=Q4v_>W$qSqkw1>;HNBzI$fIyx2De4gsYx#mi+&Y^eN*43* zOoxyJN85n&(4HKpQ21r=UqVp*z=3gU48qXsV6DpAd5jqEC}yCND-No$IWyXv+hTs9S-^N zEELXkD+-IGIg9f&7!VS&`+of@mYBGhU8CW(Wq2<5*Yudu)T`kF#3Kj!xRsiiqNYvZ zz8JqR4%ba)7%uD7cmIj2bbGEzwh37Fb8+nEMO2kOQR0XMuL=Y;&{G-M6*v`N0RcyF z-&uGMVDQT1x&{zTdJEt{LmTvrJ9oaSXYzIEWKJnpd7Q%?S+Vx#-?ACd`~HMD8afA zY0@X@gJ@XAPEAHiDLK0%n$~%QXQy0T7sDMbE{vdi40fn=eG>!@l0+mF`$e5DUISNO z#MrGV#e=K~MPfGGsC>lIxPgK04kb!cLl$+XqITGQp!0BlI9Mz}0UEkZs4C8)@DhP) zCqWmBx!(-k5|H?S+?ZDEX7-{Mlr)P=)fXcf0fdM$ajAx&O;Ak}!;lPUK>zV;sBv^A zQ+5<3U2wnHV4o=%rr!C*gt}$l4gapnFi#(9Sllc(XzR|Dk21D+aZmGDRr%t7x9kgS z`PY5Jq8L0H&AbqM&`J$Rzm|0NxsT9OW29Z9 zH%ldYF!t}^`|J(dcL#lL`pUFN>o<3=po0x+Zz|pqi0|3ZSSAjYk1k$Z!Pt{G-Nbg< z`O%BbvFGN!@p!T5TjA?oeec=omAk}>{`e`mC8r@fyz;&SWR>@}X$R%ktBd6ngeBwl zVD-v$gfVCsKv^K3j`TK9%Nn!W1F~$^r5@_9jD39cjSpi_q_Sc^8eDzx$>3uzHpiMa zvC(q#;PQ(PjefqY5~mKXK6G@&{ST3kNTx6PMqv3H-E|Kv89Ya6Jm>A9VUm-2^TF*I z(N(@bXH5OLwmS7k`jI5tQ2&gZ^fv>H^@mrQtS0@wH5fl0mRDBq?$|R66`HnhUC`0` z@v5gFXB}wx#^CacgP+YRN(5eO8eLqyfgSwM2S#hm15h--cwzZ-N8h-An2?>s7k&J~ zsyFK1s5wUyG(0pooasBzpUPR)UH8@g{3iroy``-wJqt7Mij#C?aO#>fBOP8y z4`lpi;3pZ5sP@inXTMMq=z=9W*fG2F3sG%HdEf+2dc~1j3KuOo1SQJf;~S)nj@j>R zU-~(e2k7Xb_CFxi+E~`cS9&j5qgkJ68EMU~QNtS>q3WMxO76fJQM%7y32SEmqvwLe z6ep9)*vtwd0F?n2K^pvz+9J9T4cmhpXBa8K<+)& zU(%sx$ASp5XvbL1^+$?05ASIZITGOElmo9rWkz377F+st_R|(-c;PD?9+m`x~dU_-e_kS<>`&2U<6v> z(+o=r-c}jRCQ$dah0Ipo%)$)t>{dC5t6y4Qj^t_|Qr1fbsx$V3n zAOTJ1ney~|1Lxsy6}ayw3P+Vgd1+1PGcbh9Ks5qlhTB}8DbVJDgqlE5W1cQGkJtG; z7Y)M5yTb*4KevN#)1owg3Rf9JdvLa;3$WTcdxGIfXgb?kYj~P$mIb4no9@%XE{bJA~?Zr~z49zNir zd6<;j!NQQJOQLPO=XABO7MN6;E5ic5OL7F8nUOmXEfh+w&-K7b3PTGctWyy z_*v+B1_nIL$k~$o?lI^ayjQB&y(;GfexBOC@Lo=wAx`8<+K|Li4JPs|8>MVB2Gkki zq+;DYqZJ|A9#A{d`3TSy9##?A$OSW7sl$gVEEQ2d9la{e<8N!_`jnRkRLZHy^O=F_ zNndMa<62x6NXqb3g#TwFuEbd1#zYYHmH|BLE-I1Qp7Y*kPe;`U>BdU_iR&NZ+V*Qp z4B!~|WmMRF&IH{C&F?fi$K|;I!^S^3aA>$Ts=1tKd^?YSVE#d^56c}V!H;tq#xqVv z=SAfM$zy}fwEiOJ8n}R@^Bi{Nxn>q}=pn^T-f(<}M+o<8*;0d_+rdN{vowOGBhV#| z<0-*{QWw+!BzgtO175tOnC^&%PWWbNhh$SOA}-YU0Dw5{*TTNE#HaQfK5+{1@sUCW z*Jy*Gmq=~s%i80-F6ew^CSWM7a@m|d^1&h;V4-l%`fdD6hT;+^OU5;M0@v+Gl7bC9 z{^jYX3SNpLA{2SAv5|+~Im7w(A_DJ=;62?IutdS>*bAr(4Jbh5N~hLj?%51%*6@w5 zh*eJ9c&`8X`s*EZ=S;(Lww@@NKYkVzYm%ZX$my~h)NoWm9?v;T4QUR=QRr#oU#5*8 z-~~QEfL$7XZ$sd#GgRZb@CQanP7h)iIt|DDRBQZe1EV4Po|uaynwsid$|;aTIl`AR z-gsz8ugiH9j>|@m89#vIE~Wpc=*IZt;|BjzjB$apFaBh>BQ`6Zfh%QSK?8!FJ$|%_ z6k^zp3<4O(A692Ip@h;s=!hP4S=@+K<4$doiN|g06iY6La&%~TbgEPr+JpiW!ZR5g zoE11a7OpslDs8A1H@2cL?}Km!*EXVsEy(3aQ&~#DMvpoH z^F>_3Wspi9SJIT}WgPdgI$pU|N3ih2Jm-2!oSfS=S~>n+rDqp@x*u(_^UZj3|Vg1_T%8buc#5U;tj3Gcp> zA~s0Zq8T%6ykOwZ{ofBWv1x4d2FXHIj5m@~JXF@vUeTgy*B8Dh%iGbGyzs1xADXKMr<^)Aro{ zg|q&DD34ReeQ*>y#XhOh~I&vEPAKQco zX`J^^|Ac>_DRIc>3m5)l2VOq;4<6We@`Zo!-d9ijg9m6DfHepOVe6Y7i- zjb#`c_agPNSv6p$TBbhd9T35!m$StAqF73iSxKXk$?mbw+adZ;YGT(eUM%#Aabx~~ zrZSpSxvQSds?Kb(_0m}RF{8-pe=vW-gT`Jdnx6OEO?-Z*$ga(=5s`iA9b-UaLDSkz zB`dQvtJpO1t*BZ^dzI$>h!yM8Qi!_9ETL8LOkZiG`K+(}n3&fuO;>LrrwoD3cslqk zEpFvh+H39djdrY0ETSVvTIZNnq17t|RER_Ggb}X22rus~F>&&{$R1d3) z%R8mqUhcXz{Ho0#ufH0XdYeqlSPy=_+YY3h%%Nk;6Kq*R8nqVAS-% zep_;rg3J;HP2#Sk3jdu;vkN7NY-oseu*oFOG`*w9QyeELay({7(&a+d&_&3hbi;JMg=`qw;|`Yl~5}Kip)r*{&iB zJg~n1eBpHxR}3o!twcpl8e&Le(q&Ck4Va{LRXK<-D6J4`DsXXAQ}wxRUxRFSACe55 zQOI*Os*LO;VeJv_Atnz}ASjCP zlj)s7C^PU5B`v#T+xU6eU;}b`6+ZCg`0t?HBY>GEG06(>5;%sqAj;x%gT4d@-_?J~q*X2yv7BD1e-YTn$Yu&t*fMQaTjV{YlUZ>694)_)<_e zQe4(A7S7Bumn28UtOHtBNY2^(QfwBT8JJd-bq}=8vWDc*tWZYR`}K>155)!=J9C25 zwcMkEmV2o3u2Dzp=$M2)={N-VA4t8Oif8?o7`&T8u^rN7a-OQT9xiCv_`3k!aTn36f6 zzA&Qq7TM|$ZI9X6+I~{`G-8fsIsCGdU{@~HpmRF9@tiE{u zW<_RN%vf6%^mhkqXj|8l_#qVrV=Ns94r8g$mh-zUNOpbCP zbE$@^hSdEcm1oAId{1_X7~R2_Df=aDt;QbM&$x%$`GWn?g99|OzYY9_M@lMtG%jVGXa8w9ECVvQ>?m`9wp+MKSF-R(^Yv*>un=m@n|+zTix%tB=AtxY{ffUA}5{ z0{eO>vwLH}5`!U)%!n%R3gtB_T~uX#%51BLtxe{GD?Kk*#NUtjvbD)|nzcEA&arZ{ zY&Vi!uHD6Sv8r~E0khA@8u`&O>40{7&~DA*e`=_mibfyS`f_epUFE!*Q?B-TIk9X& zRWkh#h;4CcXMUd4sz|}8oY6Z+lX|jTGZmv$p>rUPM=ojtv1ukx_nB}CZrJh9<6cq* zwK-kD3*s`-`{7Q-kn3pwI-XH#@IE2nI64)5l0ydtH6(cXxd(Jfv?PdJFct}}1>r*z z6^tyVCQ*a&9v*>=8r7;2X6W`Rq!oid2=Rerw_z&#WEF2y$`vhGDB>Qw_`ZM1pU@`~ zPC@>yu%K1)9=~8SHizP!1dBB`ORMppQi5!PVh}FC=R?cn=xN1u9TiY01cGt}D?1S} z2UQc{%BxV5k63vO&aQ$ZV1?Yru)Wd+Uu_;8;(?PZNqM7gGKR#hO3Ppw_lj3 zm$~4Ih4hET84M0~-H-&-#{GE7tw^SRGJ(t_()I4Y3r0B&JV zWBH(A6AnX;M~y1gJay8ja1}i}6f?S zt#`761oT^-2hW8O@P7kLn$YZydejDuaXq%S^6LwgIR@eqabgz&34MYjQ4od#VlR4- zq1DlNlCm}z7()@pB~k*_qfc8@v2i8WL6--593uLdM|d9%kTMWuU_<2tq?$++nH=B2HA4e4Rhv93nbDvP1t80!Y|TxRe3?~~ zt%!Ayu8{jVw7=A6?sDOQB`)sFG_pj6wFA1#NlT}j@l21)(kZA}I20Y!BlOj+qdaCI zbZe^Vg)U!|fsd?2RNd9)N;twIo+{T`DPlzwC2WYu{1+()e>jo^0eO-FYPq0~g%|1u z*iFIbYRjae>>fCK1>2SHVcqMh_oOXHFpxAZ?a zBZBe>om@ge1`D0KG%nL-F~!_R_fe)hD(0ljg|`(Q1@b+Nah@qsf4`Kp(rbfO%KfIe zE8bHWzbAzzNd~IwAkq?O)R0QRXAQcL8kB08+8J^tFhFSzjYpL-MiQ*NiS4drtgJ~h zY@#T-7(W=6D_mWjgHjct)oj_W&UX-OYDL(Rv9J27qPx%~Go-r3(BiW#Ond|#+(x}4=Amv5c4&$h!Q?xFx3f|BoeYAb`oYcQ6G_~HKg>oTHK@cTF~-2e*H&K=xNXb zU54WGH}r(#1>k^14PPnEa~Zi(T+E@$^msAGjxtH`Jk6v|gOW}&owAAa;79t0^V<2)s6nNi{9OD#%EF8BZ&N6)&U>wKw8EImW;dN@qZMT<29}Ti z+|(3bzcu5uip;99|LU$=7mle5ngaEY`Idbnko{(Msl3O&E4V$i>YFut_NFf$ip@wh ze3;4@46i}J-ousHpS03jT&rm*&^vkc(mO4rKz>N{##pD6wg~;5Lo`Z?q%Mss~ZE$ zOD@!1Jh=MjN0;rsaK3cx?%ji{%TFE}OC}F4N5+i*Sn|P?O--X_RZYPy>@jVzQB!_p zAP`p%^d^*{2Vb|x zI(EKvJQW*wrMCjPc;i2OrL}CR@%3MhRxaKq-B4B3)Oz(ye9zXp$23Kmtqm9pq-~+M zDo@%W#?36t4KeS2BR2#w4N7amxvlZW=GgkcCuQNK+AYwq21okgSIx|Ixwa|8h3|-`yy4edEzpPc%3D>f@S~9fQk%b78sh%AQTZhC@Bt!B4ZRE|;+E z(d(O#>Xv5DpSC+Oc)oO7%@--$$`D6q5V(JCD5xI&tUs`a?8+c zP_!Yjyyyvj(T6izZvKK#{U7~ca?k%x*1w;7zi0Q&gJa1puby|P+}HO%^aL6E!w+^i z2Y&l^>Fw9Ie|~*I&GAS3FTU6R#z${8j`}N~#|OoSnE2EBO};a?fDHZ10tAD!ZEjzb8dV+g^)2nQeWRQ3+b1=>U{u#Qa?4Bbadz>@;EKBj zM(o(OfuAhBGO+g>AA?D~fpx46p)m3=lD+KIkYObBOXA+XxZi{-u+_X z53);-(H&BwwpQv1Ok|2?dg_zrKE{g91mjX3hSXcxJi}wKBx4h$eJmm+Gzl?s*PKU| zPEGG<2=;q0Yk6FWv|Zgm?&Qm36xzPbKrtaIrWty+>gr?p%$?l?HrO#%?99BC;K~wH zH=>583~Qkt@L@Z!BE&j|^E5(u{>Ej5%j7ZQLn{=bP*_Pi6Q)Cr!XF;2e=Lw6vC}}H zoyWlPiPs2Z^<-|-%5X`tOqgRxou>{l*FH@n5Bqy0POCOX0%j!mQ_-a%1FT2T%KH&2 zZ_RLN%KV9%A~G$gS#41qZ={5^_kwTE49?2Qf0U^E{g~-I{f37c$rz0&J6zDpVoJt( zJyVrRWTgthL`q*BM=JJPDIrwG7tbL~nHg+sBVtqxL`7YRh1#gb+qQQ3mIEA@#}H40 zS&CUI57MAL9r1|VpXefX(4r~rRh;3v63>gD^QuTIuuPI3rK@rap1z~GVU3lqyD zND&qgnsj83>|_$vU$khx)KMHX+7R_<6-?r$Cp!I@@DTu$q%uW36Xh;{*MCYpOI=YD z@aENy7M0h{ChcfkH0#`^OhFJ0C+@pDq$$_2Eoi&)ovh73G*Zp}Cf}50nRoNEd=Xi^ zS@0Q{pft&II?e0HZ6p_)*{e!xwSLY}&W1(A)I=J+n4|quJVpskX9^V>$fq8mq8ECw z>ZH7uMhT1icPuD(xFOVP1DCqzWC2I(!lkZ>Gouk?0#E?Nlr;g<(j;o| z3CbD`2)*9SO_M%Z=zoe^e*W4JG*7TlB|oDbs&bpxvFq=9K5H!El<&eD7` z77-!TCKe=4bz{xvY529%jvj&Gox~0cC&j4^h}uPTLvW=0h;Op9zT};bfkUX^v^>|g z=qZjwBeE7Tqs=RgaQ4!HL>LAR-IyFHrc#QJ`e;o^7se^{uGh z(4+;HfA{R_&bqTT+jCY`f4t^57goM~;ibZZ%YSrX&6|Ut%krhf@KS%c{^PUpPAmLo z^>%6HiIWiOMFQE1{%NIL_(X8WP4%z&Ru{~R{pjfO*XpX5|Dm~lL!kB#ffZA`mzIa? z_N3Mc*o>m!7SACA?JEJMwPb8{dvS zb9BXjUx*Ezjc$AYe4u{*)}=q$x+e3_d#^<6R^9*cnzPNTt>;@1FXuc{{k5r;vz87t zyQH(LUhIDL#P*E%%E35FaQ^I@-;8x0T>kUH)o)$+OV-pY=bP(U^Xlp@YZYoQyz<1Q zbJ1^xS608W5aDv>;EA8Vu(*Ep*)_kKS35UQUw?L0>sAMneQWFL?|r;%cx(KXv&&jO zuDk8yq34FDW@ae!YJa?S&FN;NzNuO8t-0&$viF+fE9+K<>sG}tthux`ir~LLe7x$z zvnzgmo4*2DB>#bD_SL-=j-MF(%e3rf{8*TcfIGz4J)gK{!@3%Jb=_$anwpb%EU~+J z=%MY~sz0~q)7(D-jZM3J?jb4Twe0O%kP7V#`FxQEErpzO@6(*b;OLGmFWFyUyYTP} zS<<4v6t*<)-oE8`2&#J#=`)V?KiYrpeI?ufA>`MNBx~0%8qcoAulcah3BKEQBDvv( z<4?UXA|HRuy7<1ed-ay%?ND2o`IlUJ;N9oRYkwN?haYqz5Q_I;(UR-V{(9uz|GiOZOXbBoTWTPoT!Z9kV{7DD z_AO3sUOyu70P7&oFxtCWm*ZAZECu{VSYSI;65?he#mMVYY1SUE6^^DORw*LxY|TdA z3n;5e*m|gSTCik~ zZ*-;#NhsDSA4$MGB{A76O|+S97Y8PXJbX&%ngcN$NWlZo9Aj3XvO&}*kY3%Sw!$kBDFR@KbX&(|Zf9ps zS?v^VCgtY%u+14IdCr6EQx330soavS!;G#-%5IgmLCYQCymmp%nxm{m5QWDbWJ@38 zc9!5aePKDOLC9Es8ki5spc=$E*AHkJie)28OTkF_LKZ_(B^IQ%R_M~OAoti*la3P_ zcB`z-#ee~K9ed-R>f&HRGOTKC2v#*I$w!iMziuK2#b%K;WXTF@kzz2VJ-x&yyZeyV zb){+shJrDs$QJUFb*V;2vC>I1j9_`%rS3EBVDReud=gd@CzM7(yoHBI<^<}P9-Xf; zxBwI<7+vtd;J|fK4O1}cqKKS1d}F|4PUgHWKaa5X9uw9W0kXJm!T{8H&t%Nh{uuMb z5$bL#c`k)Jr6DG=jX2oR<6@V04072}oE5lAaiACUL+owj&~BX2l2)8BFvNER-(HfOL*R zZx$^s_12cK9q1Nj4A{sK&CU|b8C4nngsgM4F;PYwWEF^$=Q8@{SKzm zV8U|xjRbQL?1j3MXcYzWO;k8sKo?z*mIAcl@+pqtxH$+&nd3jX9bqx(YXCpE1Qtlh zL#F}uVB$A48<23BrAq>*NDfv)|ADpInZ?_9ZspfCu8Tu{Jqlc9!72r05++j2*&i@q z*l=^5kyJv_U5YP8<3J^lvA}zRyCVe#?`i#xgVJCI#hH#_3(g@u({Ll$U3VelRgZ?& zMfveih(dRc!5=8Gcx%aDa29TYjVYcRG-+t?)KByCMM}18R;^-K7g5rv(FoQxoV40t^}l z#}T5_U(oVEuR4kY{LirT!zQd6&@$LGzS}va?^7>tqecjlXcGm^t$5^WTJI0krIfJQ zuq#zJ5NY?7FAdVVtopP^l)Ta6mc&+4hhE56laS~ar0Be8I1-oUC5pFBLj7U2c6HlC zt_OD#0dxsLCwL^(dq6bqk&wQUP0$5h2&mMR z&j*V^RqJtN?&Pb2t!sgVlDDgbe7S%@@+xX5PD zBo--Q@i5xOvCiY^_ihhQ=#I{~As_VujJDaBV%zg-*+9p3U!8Aj-Gb)YhO=i$jC4nr zrRwhLUOK}F)W0{pb@ifyr|Y&$ak903OQ3#k;MEc_rZ(4otN9aA+>p5ILX?r{*7^<2 zud(gEiQX0xU;i)(a?P)Oa>J71F5mK!Vyqux>b#g*ELkaUt<;~YTk2c7wXXi9x|I)f zH(0)vgLSL#ows`Z*46bFmMs0O8Cb2(=UY0zIo7KUhS6Kk`=VBu6R*#bX)VJ*-C8zplgpJ&Wt`Ds3mBoXt9KcRiy5Q z;^J@}02IEq`Ics+8c3?)zV4O2!PN^6u3q2N96S1P{WFkru8aG8@p;Yhxy|tq>L>vG z1`V_3*VaeZH+!S4^Xk_p!f}$_;>9oWbR{6h8k?`YTLk@E8HpusqtB9@#1(v>9d>|~ zlQiNNwGvXtuKCN&Wa*1F_Ja3o?CI-r`mdIqmcKI<=_Q8h>eoC~x^3}j630RV_xWD{ zTir~cmAie*?(Opj`Y+X<79nT#-uuNf*S#X`+48o14w70gTpxzb?(#V&+gaxJEq@{H z(~)B0ugX{N^@sIQksdH+lh#5Q*xnfN)BAzHeunSIVe!iCf=Iyv`zIMoyY@PGYBul` z%w+z`hm5fdiVyMTw|b$6P>GbXztlFBo~;@g%!LB(9-IU-S;Yr9Y1dy*%5Vep`8uW5{D3BsM>~kkA>~JG{M;p-9U@0vGUJB?iR6ve>KIuDMIN{ zNRM0M7*`}VHTKP$+g@s6Z3rX1^QuXaYUECsI7pe8Ik|}heDWl?!XN}6wQblM^a&wM z#TY=tB2x*0Y)&R}%zA`*!>whGfy=~d8kd1UiiF=&QOz?sH7OG4*CLp~Y`wDD<>8BA zpD4p%M!B#`W0Fn@bMovcPu%b>Ar|LR2y7tZfMl(tMKIEn2$s7lCeJA0tVkKg<}Ats zA~^#oEE6fgGfA^-ZcVa6-G05xQZN^R6(K1PP{Sozm>@9~YLTK8pTN@B*47%BO5p;8 zIf@(?F*Cz|u407MFsvJ|w_LYCDfo_8c59h*vZqAUc}4bBIl51}&z+$VdtQv;l#s`J zUx15vJ-D=|azf`5@WyIwO4lrAX)K~?9@CJ=4lF>8I$yYmGc#aNg&o1I`$fzb6w6vb z6%7V1)TjfCoIgFsPOcM4gUUD`X@Hwa)}zRFDai9O-I4u~{Xj4}UjY?U;u>_;?DK>G zb?NRx-Vfsf(GQVg2-bppq+ym>blX2ejy^jc^teD}(gjG%T*JY)#AxKcP^*X6w1}-j z*O#Jwp{nJy#EV3sQlBVknMSMSf>Eg$CInmSyZOBpXg()A85f4L999g-0cpfT++h-v zxF#`Z*BL|Kk*TM)Q0%4k;r}E2ic28p&27 zNe1JGPLh~exiC#fv9j0bT9qgf**B>T)&h@`$q3AUYf(+C=*IILg6jR4W#OC*6VzEI z-^AhQPAWxA1&armbt5K$u?pZ1=n8g690ZR62A#=u>hHAzq6)-b9WM!Xp1A)?sqvgT3VLmT>; zrZH$ebx}0a1c?jqoQ7Pgc>=9~8&oVKu8108f1ZlQPV-1ifolVLa9pu$d#(ZM0@H&Z z2Q9ET1N3?n?9;=}hpSCI_Ji69ff9ne2_hfDTc=4*2WE0~zeh-T#CQ_st{5to;2*#u z1W0v&#I;_nz(v9UiU1IoFOBIm2IhfB$UsFojlz49#E=a#rMZxIu4q)npgZQ)k#j;J z#R_IX^eYc9t))5-#~$YyIJxC(oF8wf0^FoqRA@m%X!LEE;x5 z6*_QAWJA%7rqIRTKv3SRQa&LZBj;7Jp=h?>qr}M0+G>sq74Dl z?F>O^D$d6oG&26H&fhMUhJTQ?K~jwxEe2#HI24Pu<` z;eD?W8tpuyH5+0~nL|?LF+kIt+P#6gLgh%$Jnv=^ra7<;eG{cfvKwl0yrOAbWPz`i zgx2v9b)Tr@h}9F~`T>z9FwFe&nSWY9x?{u_Q<`IHAs^{QyNLGy30&ionjjubjczaP zHqDq423DxQ9(FZALclq=2xx6_AO@+pnIabvLyJh1d{^?-(P%J7W0XRLkzT8WKiTl$ z?NhhN(H}oqBKc~cndWV-zw(%}pf?bE^Z@C8<4TM4yZg4w^4Qd@=ZmA)&wF+K(2qYt zqAxO>k+G;Vde_#a>hxX>j@6Hs&Ykz$`%Ar%x%0d+@4Qz_0Lg0W1O46{?-H7@IsXXp z<{HDwyqeUuX!Xe4d7B!y%>R~D&$1>IZ7vv9y^o&Exn7gQWc%p9Cj#hVuZAq@xSuGum& zZ~o0ut=YMoID6e`?ddVsG!$sBfYBHHW#-)rAZiit(&*eAwb`hh z8;<8A%~?zMCtwcd5^~s^90}bsy=+aaL|HX=P1#6DtdTZRZ!gIjE-6^jAF3^CnK~?I z{c`FJ;&dhTpZ6q&Z_C(5%ZjC$;)2e{l!wkmKiL|tJA3PJM(*rHi$C_+cfB`c=8|FJ zM`eleZ#BmYfNIaKS-%z8-Y76^EMIeMd$D|A&#kYDL+Ux&;um8jXuX7S*XEDB+N!{s zSS-G1%SYP$b&14n;xyd3bW`K-%NMli>yQumdTkMD%^D$z_my07Z+33!m7455^DBq@ zzkV|$t%`=vJw%tjR+HZLn_H|6WwXiW*K4!MxDR&bcyh7xOE%WBag&~d{PM@uuO3K# zk*o|3NBC-U68*XV>(xa*TxI0K52lwnNyxT2wm+OYE7yqEt{Ji$~o~KCbb)RRI z9eD34@=4B&OJp>2;ib~EFMrg&bk|2OO?}AzsD0}5_PNhmYQ}mq;Deo?+Lk(5=AcC9 zW3Tb4xbCeZ<0#RtebDj1njFv)&=|C*Q47j2 zrCuoOB>76dl+Kd+a@Q?3CTC!O5%1YSx-c#lM=CilvWF>}w_k+jAr|%lm#;yDq8^M6 z&h&y=218tIj^>%TR!IS|Dc`GlCvDP3_&<|;pTzlXUeZWE5@E@lBE!qmgh?^#kE9*< zjl;xqrg3-znn37d`exWLv`|i`r&!t-hE2lbz{q1#rw0@glNb{{G1x_dK0blL8-hZ_ z98v|3VNqVTFmNm2PP^NLd;>cR+$TS*gpXh@?`gC^uSN1D8*`%6?M5b~6q93?rl>m= zrb8qpqOk(m1#pE4Rppc!R;Px+pCkP+CYJGb+p*9yi(3hc=ilQ}dgUJ280Ixd@cbd= zA!(@g3Ccm?F*TF=4A@SZbk~4(O2I=(ib^gMS(O>I`-x`hiKGUw=D9Ce@NShmskQME zT%dS<1(+88j9u`1l75B5vtfo0diYLwuJU-8=LAsvWR7CygD1^%+PdKb0>c}0EM%`8N;;XffBnh1=EZWkl>OP6Do{l3?M#`7OeQGCWx061Q~L65g`3Fn~f(evN2Bi+C|jt8ikEnvPbv2EhbTz za}=haDOrO-*g3e99$)xIfW>>b$Z0MG2nlLjCBsSz)<#{3K!z6G?)IPt$ebyJR2#LY zarc-7-hXN3%XYF z1TJX%`21sH&^uu&AX&?e{8QTcjFBzSxN;TfCfc8fQ|0n@LF#aU4` z+^A@lxNTS$XykrCc&Z;XS}Z?(-xGPPOJVg> zq*J@BSXzIVBzdB6kzI4Z7bPmVo5>i~t8ILd0+fhlHyxC)N~#8@^^ElOS3OvzauJyn zQc(!Fr6ZnY4r2F-0>G=J;X2rj1OR+58i3RC1hf{X<_Vg{;l1lP{QN(|bP9gramAXB zBQ+A>A@quOsesht^^!2K!cM0HiNfED_DJ%$&RVN(BK)1OM9$HIPscYzE0q3j(Z4ZK z;|*V<^`5^$yv-MO1Cf_%#i0zV$9JZ#waz2+Gf* zDOjtm{naEwYg;QMSnKV})u2{uYt0KPwYL1f&+LYvBGUWs{oIr6?#!9;`03+N>!Za$?m9CBv~QY1>_H~`~kl;!ymwQ%Sxxh zSWq6~O~Jbr|DX$p@>*^y!<-Cm3PL(EZ&{ghrLn{P0Xb8{W*D*Ldra zj~uQT3ODoL-mQ%?HlJ53&Hmp#T-QCb`;{S{?B?^vU19F}sxszUFgsWs9zD71iMu{%-!_S6`Z~&178%pB$b0$j+5D2mWKm3s1x!pVu_~h2w+k2Y(WObA99B zy1^Uc-*~fWusXirQS*+=zPV-k6YUV?{qFJj!~ebD7B*TDo&lI#QO#}h#QMi`Ti6)) zKXTc$8LTio6h6X-9v_=E>k&Mt@RzaTaeqa*e@qFNv%&D_0;RWNtitp8mVdCj6be6D zfu}>i7+tvd&8|_e_w!xlFO4tu9Gtdn+&yiEa?ofg_`6G)?Y*k2$@>lO3;xlIaD48C z#gT^*?lKT^}ru@9a*w~4!& zxoezh|MT)$^ZZg?cxGsU2a?0)=^KI%yXtRPKVDf~s0_J>!sA7}Oj#Z%=k>){i8OT0 z(C5M(i?-Y=$NMh~J-WBIJ^uA~hF@(z9vXFdXDc&}^+5jKH}W3cJ9BS)E}CF^S0U;# zeSYY!y+wyB2K^{eeML##8kjXa{K&K>UJsF{jP`qyFPim)QdjxN<>B+Ch2s_BMZ5sn zg$ExWsu*?6zon&R)}NFx%L`XmjB#FWjLr=gz3Wx(@9KSNu=&VMXV0uDzy_{k?u+j? z%iC`(fKA<>Mw2aXMn`cTJ&^3Lrr*0~P#gUdjQ<*+PS5|DcSHE7+>ec1!}-62xHI&* z*|)bqGJ0NP;hy^Q3cn>5LgU={dwE04x05sL?PGU;zSBN-_rNfa?CZ8UVZ3lpBkU75 zgl}m4OJqaK?~M(7|I_!^l-TF#4sBczjjrE&!}cSO|{rZ>V z8|xp$nO~zz>CCUCDukCy0ZXx&YdAH3*VeUU8Tb-?4IFc|ynsX5YVH|J)!!Q#0|bVg z^obo~7DS%qH?=^GO#AEC-&Frvwx_Qk>@YFZ;`*RK~8#`AG&JomIp__sIAwU z8voYqx$CZnJcS$I4O@_S0?QsmoXFOJW6GLeJ@%!wSN-EG(xtGa)dOaHQ|?q*%Nv05;pZUTtjT>o);Y02LIEN& zrD>54majCxTAj=O0hzO)$b||fnbF&nBFk`C&Y}9zSLeEmSya<#Os(7@|hBmG-kD`t~9b(6zk3OxxC%!*4A`H zi?K&rKokn=7Y^;m=Cm;~KjVt$Y*(XP17wiXKBK6_fK-ctaas(ES_}(2x&snIXd7^` z9r@ch2LMxw&hkbaSIWi7c6F&7R)vu__ClD`k4m}4gq*`t;OGF2OV${IyU`i(Gfimj!&0 zyYq4KQ%}?aU>CM|gm#EVz5sqZ2SevstjcpN6MK)G3rV!FOIFsf)KU?rTJ;o1&Ur9k z3H2M)Skma2W?F%K!?n*X74vfL^HyIQ6TD(;`B5?FHBKq!A4&YucT#v1Hh9(=O(3Jd z(ul)|7CYu}zag3Yg};634_HD5xi%^Ng_Nrztx+H4tpGd#?fdmrha6k0%A8M`;C^6-JH~1AYitW~fJCo&OYs zSP;C{-bo5%6HA{srb&IwN{E6J2vc7Z(QsCUDfuLHoz-{m;ZFd3>F2{-R*y!f^vLCg zT*a0cku@CZviuUGF$o3sWi@J`Mv@iXu#|J4$2UEL5iSN~ezB}6YI_lvxbE1d!D^JP zIEu;YW&@{XIoC0!lrWqwMbcK4cPR`KE1$t@lxPx|caB^sFve7&H7O?{@{V*fRokZd zf!?vJXte$_9$L7d;=q71GTyjC-CW~fQLZnGE#Qd(b!msw--Zjo{DKoSTlH0k75KRK+!;-b|`5!cByTlW9Vx)NEHgAN1_s!6KmQN(i~_A zY9Jr5QifZBSu5u25gg(r={W|&Gyv!p+L4jze}){ue$#~jjx6DGIB1}fYlu-f6c$!@ za8r#t&w?%mBk)-ZLtIcmIq(D5R$1*6H<@Oh*`8{bACaf!)F!_;sPy}bUasSj1A+3S z7@8sHPAv=#dX$xcxE9EVR_pBwdEQz24f1})vu4d6ahJo|qP%RTp5=yAveV_~j7dF3 z-8fP?gk{xNUGgb6R`+g`O)CYZy0^~oHz~378go;!B*bP1iy9u;*&Mh@t7GzxU8B?G z^E!ZyQa319b}jI`z?{c4t-Na|o9TZrc%kVXSHfFE zbHATID94wKOjEb0cjVtN;~Ub2vARmTsHR^(Q*Q6;>7?I_Rd1%R*kkMR0XOZAoaoIf;oY@Vk8!qc(E z1?6Uwvg-D&M}~fSWMFizIxah+!o8v9inzIXNaK;;CSTgw zm%b7ia_`G+F20e!7hHUxj_N%%@%qMb?s;`>Z}*t;qyJ>BW12QQ z_#nTZZ)z>?Zt?s!7=NJX^+@IN{HENuWEAk*)AHI2iFTWb2j6xSD+Hx>@&dj4Uytha!hjjKwx z!%CpvUHI288hOuMv7zNJ#)i;=15eZpVGYiV;qgJY=e-nQ^9rpV2L{ydxb(*m0MD<_ zdoDfyIjq(xgQX@yDzk@Q>Tet_2X4ke39u2cCBVbq36lW0<*$4L?-}T=>Da$(h%YYK z^3^`8JbuF>G8A~STz+SUwqAZ@#(3(|KUA*RaK~auWtZb(^z#cJ%A3Fcq4|Z+9t-c9 zf1vF9d#dHPO1=ZD%h`X=Yy5+@qVmzgv7VMcjU8BU6(DoPRX;m&Q`6V}a?@zz zp7Q#?wUn-i9IIM#5M~9iy`&{L53F}Rmma(CtG)e*^>YQhw~G@it^=Fe(|9P8I?Xgpin z=HJFNqbwk6*fF;*HKJr)BHu^43@ zeZlK;Zq$U(5TdXsG+)+2aMh(lF=Gg3m+P3@^Ay%vuIzB0z1$VJ(1o+=<8m}0TTx5t z;V&k^q&LbrOO4l9Qqs0@C&{1qL1W6TYNO${^mAaX*Qb~`qcNvggYi-%9#9XdAs!F3 zJD-b~(%OC{9)($*tXI07Q%yaM)3TzfVt{1^CFpp4Vj^;Q@7&!maT6gNHNX#mN1aj8 z2k}f#0Fq$fQfrB5GT;fOH{cA;R>^>%83Hmo#)m^&x}+NDrwexC@(#5WEGlP7B*z5I z)1xsZDFZXZst|%gXO1pM9So=z@gd!Grj=M}z`%h|zPPpc<(E8?p^FUc_VcjybJsHG!)jBT<}ZA=h(RKu$#*bCl&Jruro80=emIXf>mjRcG-Y!84$)D3Ox7L($WI z?Aj0Tg!3X$5?I;+T{9e8O465x55(=9uf1kz)Qc)4e?DIyu;1_|9 zxg>D(#Pu8{XkP+LdOGuHP9OnMvV{}`CDG0WURr|<#x3{b2c7Cl776W>r}THz!?E;k`FrMKzXZ_nrit6V>s87w@QhD zx9UHspM?eV#?zu8l*rJ$@_^i_2s4>30Wku(1GUzf+^GUbr^Ia>1rnyS=%M5kOV>hy z&1A^v9b5WE*ixu^#J~>HhOz|WbZG8t2e3uOoZ^IpMJi`6lbrFi;4Ba!ZjPlT9{^La zCh4zn<35OJg&)sfoL`xSwTOX6(QiC(x2Jle(x+F?+CP8z5Lx zG?-`xfOi4M3J@?>C-**cw$nn&m{N&8=%!I<2JL?;CILqpNJ1AenBdGl1+r8pmQwkl zJ-0A%S@4)1w*(!#lw-}hVwizi9HZJr=8)(OI7ZS!i&kbZYct!YAbqUchG<-YfKr`m z@)g@ZkrY%@750}JQJ83Y6s%4vqC!zBUfX_ye;UkC0ISi(%= zy<-{#nf?wo?uD%;veeVSbw#wdy&US@)Bsd<7cx5N}eaDswzI&&&vz*W;{)rQ&k+dS$A|akZo9EW;?e z(xUAM@b1(lEvI89D{WRKRbd_}A!$KVInu>kb;;SBEiC`!9C;ZNO(UQyb-~(oP-_(7 zpsd<8*iJ@qz^E05Ix>JE+MJy3@R?$5x9aTR+jzh$&Ex^hzP)32lnNe-?O&$b>GTRn+&X66uWw{`AtPDHAkA zf(@Hk({e6sr4&gp5UVyx0@+L{FrEe9lbDmJMf6Vlq}147iv_Y1pm)==hRt>_aX4W< z0n1caK;~enkbG{y>apKk1j75Zo9mDp!1evG!YzNH-?9Wl*JLi=pgf|q7i?lnmes`s z?_gQGxagU)32M5dbPy<2^~JkA&9Lb3Ne(u&U%6F={%c3`UJ?>{qjpr+yJd&q5zwSP>Xl2C6 zz3e}W&P`$YJle>qa7>>QLz)><{32jb7&J@kmN)sC+9nn~#jjg@|1xHV)MyHQWF8n$ z8Wq*DX1lxgd(@|tBE?v&q@LH}uVDuOrxYdQs1$~vPf>SsK`HIxn46WDk=?wfjH`=- zOs#Syl?TFG+34dBe@`8}EWUqBX$r?A8S9NvPeCsm-nG{=n&+B#8P=)%dd6n!z>Y_dU&vDnz}N5Aht4EinM1A ze@QNH998n>H@)?Zg1Udc(|$+8Gs`{e*{CP)IMnwAZ|;RrfydiB3=!)6!&m>0zh3=0 ztX4*8%68S?iZjb1iqfDJIa0g%rsNh?>1}-p5?RQrZ*51Wv$gW%K5ZXA(hc9L@NMC( z{wKY=l&2KWv4fhszi6r=JD%LHhOW$C9~uPsR%gk*tg(N|%9pQR8Ci1ao0qJ5pvWbQ zu!)H@?B1nJ-I{F1a_rg4rY;D0(G0z8u-jM_>`flQ--FI%_eoygwsn9lpKgoj|!(UtGOMdAr~1AA5<6O0mHEe|ICrf&16u#H{`! zFTFIjAh~Q*S@J?;R53QewDh?)n3eu~%)0cK3~R8xw6^E6(iJ652A15cfVC${S$BLj zRdy#W(fJO2eG8FF|L!rikhQ=?5SnhW7X;0Qz|=U_o%iSt2u!mkqE>G^EC#Y)KPZL8 zz!t#Fw}SY>il9BP7&y4Qss7jl1+YkceH^0Mm%d(qOnZpH;t%Kxu<`BE?l)VHer3ol zm`m%eVpt`nHW*JCsSAv=`^=p*6R>H4&wK#dEEmjJTC88wQ7_^VR_k&`1O2KKUA z;t-S`MQIb6&j_?4E1^#jO4qT7HgaQ!FP38}IE@bs5=Z!nQ9A1gs8V$12o)d^A&WGw(FW*@16;riU*L{QUr(n=tXrZKN-og%9qoS1Gw zVeLUs-GRkX?q%*ZUBG4NyV%+CE(gq99s18XR;^kFs-p;+5~sv`@wBQ6H&^{k&CO8+ zMKNoEm9gWBK9v@}*c}vw86M=hQ5cnSpeMmAL8oq&(WQ@@vcq{S1gqSHD44V+3m8Y2 zbNW@&Dvc|^P|m4>40ew8glZJbh|@88(=|&4KmOI1yThZ7J{_U z8RV$+$X4-*4_;0JKhwgRq6=0gS(M?C1(7i}0|?+T>IZGR{q6}q%6SfCg!q+`|M)U5m0}96a@sn^)zT*Xid8$z`ry= zEg$x&bJ(>af7>O*7mZLQUBWUnikaB0T?}1T1hG_+gPA?>$7!h;LZ)DMhn<^G#5_)T z0}Gz<9+1tCK_eB-ff)_TOy>@vqPSJ<;FLN^gK>^hC?KSw4q%ght7l2 z^)^9;)rwsdS%12#Q*M*h=hJ3|+uUSsZK`_N!hxMJT1soBu6X8^Rcng=2jBI%uk5Ph zUC2qp8sVZ7|8?D-4PHlEmkf#GRxX@g(OS#m|&<~qyN>4?v#-{~nj+e*1t^4YiU#OxY-*fi{ZF!%~~ zb@9fUm1ACYJM0;|F^!K=m^x_7-x2|>bGw$&U=?^Q(wb=yrrwm>AUZb|Pn8q!vbVA3s$xPu`>|Q99RkYn~Z@I}B>a2QELr%~4Z`2<&1*ynbVILa8am#jc9Y;Q7C6(AJy5~NoB<&}>vAJ!lSE5(xK?8=tS z8@~Q~o`p47vrL=O+?k(U0)t&H5=lAjG=0gUz@ zBu?7;__J+*DsO0zZ3S=HGrE+tv@lWh++{uFCZu9LighVurr1Vbw*#r{0qEE~zN7xZ zN??vkU=Bk&s5Ql3ySC}F*De}en(nPRcDcG380D=>t2abn?T_Za>xthMqVRT{2S<&{ zga0*Zs9XO`nH2!tRJGx>`6rKg8@ATa7ai{T^S>9ww$^{L)nSooBi`{1#&6w_zdI5H zMlf|wD%Y$5!K0Ninh0I*%&yxNGax|J1yo~uJA_V?#8_AsQ_~nZw0FVN(KgUh{oEb_ zedav33%a!k_LRd*>2U<+s6@M+&m%l28b~5&C9$YBQ#^zb+; z9J0h$2My9SLqbBJA_)EtQkZ^zZi8&TmR~)O_qwf zBc?$p0KFJQTag?Uflw)wx)jQEL`NO;HHMC)tgt$bZbtbn>Ta9C zqcBQOmMfu6NGd}ehCs3+EL?=a49O}v^d~9(C14(*D?!jjFcF%v5uh5Td=St`h$Hj% z2|IMOy$bFc!QgO;0oX^OLJ02Vl*1WOQFHP~bC}3Lmw?WxNC8MI=@&y^KxJ(O zFmC@+^(&+d&Wr^c`c&r>AaHb`Y5#%6t1;~5LJq)YqASW5L0i2PDd5eJ%>W@#oqCL3 zEmMU+1<000FJ;a!m?^r=Y_D7RQ|!@%p+Z%jYkO1AQ9~mXT2P^JX#b;D^lqcJ$Nz8^ z`aq~{$1sk_u==3%Fy}yE6|)cG_IRV|jf2Z1BLw;`?r@$XqXo=d7!2#Nb9C6j0~~@F zbS2~tz)Wu=pq~zmPSLP(0`mh#9n9!8VC7$$V&;mn1%Y2KU_OK4LIV~G)nda-w306A zb(*S{wyTgUnkH}rV%iBI6$F49wI299h2_9#M`X>5AV9OQt`9FB!9k6I?PD6mFgO~5 z3Y3>j^l%eUl%#hk0M%V_H8Q35jU~oq^1Q=8s=GtDV`)QsSDsbdUbG6vWDCBX#yW#| zaM!9NJM;kdZsxm4X|pOgKTz9U&LGt=%e(s1R%(N{*(<5Dp+$png~^%}skA8`gh}a4 z20v31@!O}A>{aRlrR_?=5B*q5d)eMc>Rq{)nW;D{x_p)ntFTqwyXqIrgHh)lO5~!8 z)L312ks2H79>p3Fk{=Yn%<4g<*BoX|-iGw9=XW=Gf8;fdIz9{f4qg;i6tArCVHW4C zfIz=zp8|H~7O>WFn8N{F#HZb{6pfVnZFilu zs}7K+d)Js(d9(+jBWT&=Rl9eMDc=Og2jF>1QBj)1#n2Q&MloA~pk{swHgp`zZ!JM_7L(B%7(rAD)LZ#&_g4RE8LH=dSWTa(Qc4Dt=Gys^BhV)vY~6 z-c@O9Pm{NfQ@hPZZ8tZ0SMgmyUojw?|6;?)2I<-PB7;Vjxtz&nVyhd}8o;Eq5p^#? zpl*4#d@uXd%=#@xZ~ajI4c_)IyeeZ~yU}#ZZ+d9y?VkFXg?nTm4%hpZN@N5dg9;%N zQj}%(wUdw8a*y=S7HZ7(Dwz4D!<*vLd1yS;u3hrmO_#&(6)Setjg)%!Zu!|u+{!hzm_ zW8RB9r`&?Mka;{#YS?2a>T|Sz$1Gq z*3L*L+nq}twI!G)88H}e?a++|ZVFv^XH_!+%Nq~P6iwg;&OW=ecfo2tLR_d_-cg zDIIr7fCbZ%s;DKf*VbyHEgCpgLey|(tcW`>lfHP{RB$>R`D|e?Vc0VnX~|~w$Uy@~ zG872Dg>7;t=52W8Ad5gjfvplEPl!t2_STf-7o9AS(~2i@j=MF0O{)?SXehx2G`y`* zIV=|;$9$1{CBcQsPd9@(9Ec|lu|?&*;(wQeQ6;F*3v36 z6VN8yLj3^RKq~ddB;*AeYX>T`AkBgPk^qn|Nh`=E8CQjq}!VK51$fTaO0bh1u= zrZBqLch8eKg1eaZuGY|(LCM9F9X2pav<@f<6SYdTwn_}6j_KV1&31c(z2XHJyWuf_ zHdDjKIml0UaI4sXTzy>9&{Ud+=!)bAlHw8sno!tKiGAp0l!ELvmldB2&Q4>5Lm~vl zv4A&;3%&>fN?NDiCxv|-+$U;0fQBf=$YM5{0G|({{92|C2uhcnni((-*`c+9Vkt(R zM(-Oz9*B{Y7yGMjp^n5ZK6t08is(9O?M}24wG<$a1kq$)#!1IYA(1fu?w_<>AkI1ksFeP2=kZQguDS<`WE^0BHh z#um#3vQQ2wgCS@otw;RLjSw-F@v5ESvhZ$yH!lm%3RfLryj!`D6@b+zALcvxVqR_a zE2T|J9ajNfRLRTCex;~YxrBR;nDd^^9ZjcG4fmv`H7a>ZZfq~(P-I<}4(?+^_Y9@G zdGO)T!y!9uS?T1#BQQh^?h99NZx^2xp57uxVp#bFaKl>*mwt z#i7JlIDE03;K?=q(($!wIdI9C!i&2rg8P&oDoK>?h<{(WJzUP3fsgvbRb6Z`idAhv z{pBZsF~}LLK1AOjA7&wCHov-C9&yRmlb-lJsmq{8-OHdT6>8JD8`!W?x4Ezb&0MH- zge;U$tb+7OMDdB!qC2vXU>em9$UDKVSzmR4)Hu_LyhsW8sDK5TEkz08tEc3xnFy%UM*ky znDSN?cI55Ft|8BrJ?=UB`QAC{L=v!NwW5o8chUx}8m;y;lcuCq0*3I_{Cb$wn}VX* zs7281;2?r|8!2?adjhkRxevvwUMBKL$H?Oq_eIi4P_+b;=5VE$yZ97(NkQ}z5+;j2 zHf5XQc9Vu>J+06aVt zNq{l9XS8nrR5nr$NNEDx04KyBg8m%^09}TuI?iY?1%o@&xWxnx6SdA{A}Wo%@~)4> z<>V4Z4o_e~7iw!4A}B$G->0lL`oPXXwlI6ONo?X0MVRrsm(HRn5HIBPxp{);=mv-! z!}gL8H*nA?VSfpW%!R#+VE8&fUugv)W$T*?%hj*_N+4Kf=ZZqoTn{m**4Uqc4sZ}k zsqD7%Sz>8U?xII1vk5;=PZE-zl&nC)gui8@3G&2LSV~9IszJOG3YLkAI$pO4Iw71X1@LHSZyff=lD$OMzxO#J`Mr~ zZe(KOS&60q!rF#CNukgnfPkYV99Wu=gCZP#tCVU-t)*i&jzG2`S5~n1&HK@o;2k6sQ6f%fv_^AT<$6i3BMc z!8ner86E&_MG`qL4phI6)=nS*Vxg5N4xAT*f_zXJSE-~u60C48bp$MX**!I5xj|h|*_#DF*E_vq#Ld5s| zrBemqBRl6zOgm#0xo}@{3Z<7R7RDFqgpve&IoF+cD*zlyopqUfVZKcj@+C9=NUauS zrfy(4g0}S;2SR5`G!YMdZ)Z*jWHn+&B$UvJ9GSpB+dn`h`6!ophKvQqBQO5PXS`hO zw4upFt=}J!`GCTt0E&;+%@N)^|5+6c@69w;5-me1A#=h#RA`Qlr11qX2BRx9hMvsf zti&T~>whc-ndAdhrkT+Tw*Vtq^O^AR5qb;o0K?u$$t3#7XDzx3AOc}20=2Eg zDIY}8yh%8Tx(6OYA7`2l2?hF(ye=9JV2yJi^6fu$VkLrfvFvS?eBC_H!j~olf22sA zT+_H_XrOXcT@{5X5db0ttpYvsc2#Ivm8n=Tk}9TH*Yj>Zn+F2)aD?-VHtq|*6^Se{ zrLw=&Z`oNEM3ED^`+!EFP`HKK&QlIW zRO-S_T`qt$7wX}CoE{=C&a1GVc`_bw(Qe?s;1HNM@4leif4Dg3qQH^o&QEDT3khaY znv^=)*G@f{L>+_#?+$x+B4t0-gfd9lK8!vy&{KnoTY!4|tct)84Tipppp51}}&Qg*<9I@BJL9D?b z(g4moB`(NFG;H)>2ebM8o@9BAZTkDuo#syp2Ci)R)%Quuf!!sJYVLB#Db~C0gk{BD z_UEcS?^KqvhxB)*wFG?+PS{#VZ z?@8Y}h2|M}-DrADuMXZjM8aG=393pj7QHg~2SL+dQ3%m`EKlkE#W__7ZHjh)%!`go zu)l;z_@3T6{NkU;Eyt`{5X#o^v?gqeBR4n@S|0@j4;N&H8Mh8^nlK1pC1f|DOTygp z$ENtiM1UeB#0bbVN=Ip9olAgef&N5a|*U7A9?Y zeQ?QzX$+`1 zVIr13BM0fAD7nLPL)ZBtC@CfDlK_hl*uK*e3|{1XNk$z;rAIpmZzS=gRfJw!p%N)V zp9aoOje=fAnnD>981DnM0mu-9N`q2>CC7_*%pD>oLP6|~q{c(r<8{ww1cHl(xBG(9 zJ@}7LAWb_oMW7O%?0g~`&Gv9dfXEh}_box_%(M|D&+&{1cqRyi!@4@6kJ%vnpz2W5 zn2cuXC86@!u-O7oK@hf+*%gbR67pFSrJ=NG6xxq61CHWn93H~m{;(h<1uaR}lp^YB z=V9MYWHC{?zDHbB1@ActliAg!iX|{2GD!j+Ad-FK_b~Axhz>8O}Kg%n6yUsVXs-3O`CiiEfGn17{5C+5G zH-^ogrx$A)HE*TDgW%J|r6~~(S{THXGxPr=Fdp8=f@@%U-&Z)E?%GUh`rytAnx0c3 zlK7Kw04TBH3`6#DcoAbpVd00)nM}@36a_*-^bp(n%sGO0yF1Yx#u1X!i)jc1E5oP) zAcJP0DS2?80ZvG@vL6r|#1jPLQl2$Y%;b-F68UQyaq6~tuc2p9x+=eje9=5qIF6|` z8Viw;7K%)RD$7>U^1u&Nq--%MIMPQO3Brp{d;*O1hVZlQxk~CmGhU-{Fsah zl#$^(G4HiDv{*M`8eMKLmC0ZHk)e9XK=S6QXNmcOTY^ci1(W7ryvhyzQw#s966;;t zwt-vk1p`a-Z_WSgdCx`5<(DI)icyU1HZKmZmo3bogZOAymF_jL2j=wRhdlP@zVe#N z`m!Dh{;p!s&PaFmtaoh$N7PnSZ5n$eJUy@?_;BNqYxmk8EFWJm6QNvhWB(*@YYLBT z%fYRyh+}_WYd-L9qjsSNA%8J_An4~k^Q|(gX(?Wgi|mC#Mr81%r!XUZxwOFykBBKZ)?NW-gGR56%&S?Ae53Y#Ab$wAq@w}Le(3F<0JnUczGgziJq zm2^vvXMUDd4yTjodixFyNZy%8h-jB~qCe5J*oA!be;oszwyT^;0D&{%aqFYr$W{@z za^F8&HajxG>}CXs$+o6lD!L&ra;TC%`iGv(&BXU)Qru2@!e0>j?tAjwiMvb+C&JP# z-T3ga-N>Kr$X;b@l)1}9gIA7^2C`sxaNn2L+QOI<5$GbDS~kJV4|;awWlusoQ9YZD zWOAkOBXaG|hd~F_j1om8A zI6K>V_KY5+9=F8of~2G%PIl5%^1f>#@UVG@UC5E{MR=?6iqA1nDJ}Sz0tP|38kr=* z$SX)3$bLezyX>QDuD#LNO+%52ff5US&sUh)nq$t`4W48}DnzF;fX7j;s3f=)!t>yL z(f60cz2aW%l9GQEXYm?-*zET=8n?I9+b5$DOA-se0-Lo9((^C0ZQu~9-`_v{F`+V+ za>FmtoN?{1U(=MM{Xrvd&&crYjLhGDnw}YXsyEWHzw5Q(($E)|VVVY3WV55CFw+OC zNPP@9LX7`IZbWm(svpG0dTMUtm+mRFnyqHz3)kjZ_q`4IZDd2uYmvJnTQi?EKmV=g z=zo?|E0gJ(Yd^Xw!;H{%q=fzuFY-Yl`;@U6qnmh<9jfmO+i%w#$?U*K7i4J=h&tfF z#EAFV910IXhim009>zwvX?vVdDw2KW%W$piD7Lwo?Jo#VRisV~{;Zcx{B@D;G8EXR zzxZ-*U4>(IJ7e?&@0*=E?N*CSG@nBk?T8aJGm#@Cg05QMA~k8_9d^wE1U4>Y~uMw`HQ8C!?S8>WtbGDGGfD4};Tuw3{4KBcCln zu+y|tHWAoe{G3~O|ZEUos@uO z0d^}igqzQQ=>t81u9++@_{$^%flcH5hDjCzM2xE297V&#>_o00G6~{anOrD9t=v{! zm95x`pVQ>BFG)&2nunZzwn9q3kDaYp6zcw(iPc;mH(4oo=2tCCGo0b0xP$BEYeNR6 zE0#S~!HnZ&lKN$t!-r-z9|~4Ahj4BCpsfb#u8H22d|z}eBp;@-8wn^PCOH`flnHN0Kd75AR|I73^#K0 zRxrAtlp;B%;5H4x#4G|ISOa5lj9kThju^?MP>={{u$`U{@v?HBth$gW20n)dD+U4O z6C^MLZ=m3mbdtj3LSRuy2xawL(lO>boRAn&CNK7%lf*-ExI3MjF;?+@9-?Kq*eT+; z@BJ0nC<-32n=r#{@Gc~n1Uo3F2&*4u4mPqBoZWT{JH;qcDP4R;+Kv@FMUr+E11BE1 z4?bv5CgFi%Q}wXi8HT7xZZ$SvjljSb2Am?ugM?=~gn}?qJ3o-lvV7c~#?d_G%?K}A788WIfqQI2PsW{pxODVjtD2L{B zAMa}AJ)s|bd@u@Ov&oMq>a@;o;R5WEH8IUNZR&+fJ~Y`%NT-P57M@WR8oW*{w!|0OOL>5?MG?*Y|Qv~;>?_iw7u(d)K z;M;MtdBsjj+Bsn0?q+~)pPTld%RI`Yj%fu9(?Fwj+uKC0IJGT`n}?psCSm7Ih50B# z|E&d)32fve3j02nNq53)dvgtIM@ef++k z!`XnCywR10j}L8;O^fjeJa{%nr{(|9YnpxZfuA#@tLT8f@c3zwZ8lwu2;ul8XGqjf zMXNthzRYcQ_HoK*lb+aXP|z#(OnQ9u6?*#3d(NOfG&DXA(@*AdW{ruF*7w4bXOKB1 ze1=J$n(s}PY~qSUts~!obn_I1`2%!%lJE;!T-Z~6#Y7sX{nh2sd#_7q=?U@DNp$}G zj0RTpc+pRg{f6PwCVS!`M#7oX{4<*p^xAue(UM763*HEu!qZ5M+7|4Nmdop~sRMoP z3}o~dw$SK+0)>P|^8wKPy#`DHDqfkG4iMpQTnZKa2gFMs;t-Qlf`5_bfAfOdpUJ2$ zP9YjPmHdc=MIeT0wh`3C)?2i;Lh|!*jtJDq!GBDU!;cB>p5Y!pA%M=1dyo<#ON;S~ z)G}mW2VMR8{1fwlNm51uG7j%-z`i0fom+jGJqfTqxJ@=cn6eJ8LX;BogpsQVYkh=! zAI+V?T__U~R@)N&W9R*LX%CZI_1%$N}Zo@u?E;>a^^kPz?;T>j$rGYEws zRLV}-OW6AZg!cotC(&W(6|SrLEOyLd9~fw-%yJ}IEp&!1rnm9^2>*k(CuR`Db^7jZ z`Bb6{Yg|9x>7zBr|DO>1`y)_R7d-Pr|I@ZiXY?c010SN_A42%2Weq1AiD3yn~&j`m;_Z&Vcp9OAOcO;u?XN7nx9GjohR8f5gAC!#^k+^fg#Ty) z4*|~WPo6pBOeg=fX@UV$7JJRa8PouL`Twfs_w>S>XC7<4=>M;5|NjnY|KiK9o%^pz z_OaQ?$+DY6p~}0@p#4EO<=TU%#qqXrsGXEsAKY90+L@$k0}r2LTSVxL2nH0HAkC{u zTAyY*U}FS}6m!^soEDUAftU%|ULaX(V%MzuunC7d@myoYJ)|xGB{vd8*f#>c2=+3^ z2o75nl72UQH`C;@6I*x~ju){58P<4OLu;oX?Tie?W(<*&%_L#&iWn!vvWNQTt+(YT z+R4)lE|6Hv7S(hrNJ?iYFH%HGBY$29f8>~PwX{SO&rlR40_B-oOVhQLa6mQ#GZ_li z_q%@bOV~G=X!bW!vt_3bK{*OS_18avfH4%uj^Om$v0&cRXQ;5$Qo0x#GQnAn zPm}U(%Mwav*}Q8h^2a%d!`@s;9E^53$pqF>GB_rjBzD6+G$w{1e5+y}@m3P1*v|uk zj)VLVgEpwKxvh*RML7_xO+$J*s!;gXY{HZ(oNck4xy*bUw#bhZHhl~CWkOI4l!NJF zfILnT+M!tFDB#y6A- zDubH98TkA&WMAUT_QZ4iPR62#ER&SbcW>83SfrngFyRB8mVKFxdey5CufMNGc2nCa zQ@7hSquQY~Lf`rR3#df7R1+p6iCTu1Cm|;73xtF%hDf=RfqVNowc^N8gCc*>o$O_6 z$0&n0`ac-#)c3$7?%e&2MuN{(7Rt>Amm->R-FB!-2&tptx~YHa_lz{o7GL^&T~7LXBi5u@SeTw>3l}XA@-A=& zp2RsfZYc)g^oyEnT}={iUET$nffWfte!i6N0j7mLYH^Q>2iLSIIkx{rPc^QN2pg50 zjU=@wwxreC$e$nVYn;=F1%50qkdJl8T!SzpZ1fpq8Z+JMGd3pG=Kg_x2Du2Cak_Hy tb6l4DHA^#J*33#JuQY!KXR(~64UvuEB^1rM-x4+^pC5ax`}n`+{y!(7m}dY0 diff --git a/gr-radar-mono/src/fpga/top/usrp_radar_mono.v b/gr-radar-mono/src/fpga/top/usrp_radar_mono.v index 0382734b..f1723fa6 100644 --- a/gr-radar-mono/src/fpga/top/usrp_radar_mono.v +++ b/gr-radar-mono/src/fpga/top/usrp_radar_mono.v @@ -86,7 +86,7 @@ module usrp_radar_mono // TX wire tx_sample_strobe; - wire auto_tr; + wire io_tx_ena; wire serial_strobe; wire [6:0] serial_addr; @@ -145,8 +145,24 @@ module usrp_radar_mono radar radar_mono ( .clk_i(clk64),.saddr_i(serial_addr),.sdata_i(serial_data),.s_strobe_i(serial_strobe), .tx_side_o(tx_side),.tx_strobe_o(tx_sample_strobe),.tx_dac_i_o(tx_i),.tx_dac_q_o(tx_q), .rx_adc_i_i(rx_adc0_i),.rx_adc_q_i(rx_adc0_q), - .rx_strobe_o(rx_strobe),.rx_ech_i_o(rx_buf_i),.rx_ech_q_o(rx_buf_q),.auto_tr_o(auto_tr) + .rx_strobe_o(rx_strobe),.rx_ech_i_o(rx_buf_i),.rx_ech_q_o(rx_buf_q),.io_tx_ena_o(io_tx_ena) ); + + // Route TX enable out to RFX transmit mixer enable + assign io_tx_a[5] = tx_side ? 1'bz : io_tx_ena; + assign io_tx_b[5] = tx_side ? io_tx_ena : 1'bz; + + // Route opposite of TX enable out to RFX receive mixer + assign io_rx_a[5] = tx_side ? 1'bz : ~io_tx_ena; + assign io_rx_b[5] = tx_side ? ~io_tx_ena : 1'bz; + + // Route TX enable out to RX/TX switch + assign io_tx_a[6] = tx_side ? 1'bz : ~io_tx_ena; + assign io_tx_b[6] = tx_side ? ~io_tx_ena : 1'bz; + + // Enable common RX/TX antenna + assign io_rx_a[6] = tx_side ? 1'bz : 1'b0; + assign io_rx_b[6] = tx_side ? 1'b0 : 1'bz; /////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// // Control Functions @@ -175,13 +191,18 @@ module usrp_radar_mono .interp_rate(),.decim_rate(), .tx_sample_strobe(),.strobe_interp(), .rx_sample_strobe(),.strobe_decim(), - .tx_empty(auto_tr), + .tx_empty(), .debug_0(),.debug_1(), .debug_2(),.debug_3(), .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) ); + + wire [1:0] dummy_io = 2'bz; io_pins io_pins - (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b), + (.io_0({io_tx_a[15:7],dummy_io,io_tx_a[4:0]}), // Don't connect pins used above + .io_1({io_rx_a[15:7],dummy_io,io_rx_a[4:0]}), + .io_2({io_tx_b[15:7],dummy_io,io_tx_b[4:0]}), + .io_3({io_rx_b[15:7],dummy_io,io_rx_b[4:0]}), .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3), .clock(clk64),.rx_reset(rx_dsp_reset),.tx_reset(tx_dsp_reset), .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe)); diff --git a/gr-radar-mono/src/python/radar_mono.py b/gr-radar-mono/src/python/radar_mono.py index 701157cc..6e2c993e 100644 --- a/gr-radar-mono/src/python/radar_mono.py +++ b/gr-radar-mono/src/python/radar_mono.py @@ -45,8 +45,9 @@ FR_RADAR_TIDLE = usrp.FR_USER_4 # 32-bit inter-pulse idle time FR_RADAR_AMPL = usrp.FR_USER_5 # 16-bit pulse amplitude (2s complement) into CORDIC FR_RADAR_FSTART = usrp.FR_USER_6 # 32-bit FTW for chirp start frequency FR_RADAR_FINCR = usrp.FR_USER_7 # 32-bit FTW increment per transmit clock +FR_RADAR_ATRDEL = usrp.FR_USER_8 # 16-bit TX delay in clocks, 16-bit RX delay in clocks -# These are for phase II development +# These are for phase II development (need to renumber) #FR_RADAR_FREQ1N = usrp.FR_USER_8 # 24-bit N register for chirp #1 #FR_RADAR_FREQ1R = usrp.FR_USER_9 # 24-bit R register for chirp #1 #FR_RADAR_FREQ1C = usrp.FR_USER_10 # 24-bit C register for chirp #1 @@ -153,6 +154,7 @@ class radar_rx(gr.top_block): def __init__(self, options, callback): gr.top_block.__init__(self, "radar_rx") + self._u = None self._subdev_spec = options.rx_subdev_spec self._gain = options.gain self._verbose = options.verbose @@ -160,6 +162,7 @@ class radar_rx(gr.top_block): self._callback = callback self._length_set = False self._connected = False + self._frequency = 0.0 self._msgq = gr.msg_queue() self._watcher = _queue_watcher_thread(self._msgq, self._callback) @@ -173,9 +176,11 @@ class radar_rx(gr.top_block): def tune(self, frequency): if self._verbose: print "Setting receiver frequency to", n2s(frequency) - result = self._u.tune(0, self._subdev, frequency) - if result == False: - raise RuntimeError("Failed to set receiver frequency.") + self._frequency = frequency + if (self._u): + result = self._u.tune(0, self._subdev, frequency) + if result == False: + raise RuntimeError("Failed to set receiver frequency.") def set_gain(self, gain): self._gain = gain @@ -212,10 +217,8 @@ class radar_rx(gr.top_block): print "Using", self._subdev.side_and_name(), "for radar receiver." print "Setting receiver gain to", self._gain self.set_gain(self._gain) - self._subdev.set_auto_tr(True) - self._subdev.set_atr_tx_delay(26) # TX CORDIC pipeline delay - self._subdev.set_atr_rx_delay(26) - + self.tune(self._frequency) + def _setup_connections(self): if not self._length_set: raise RuntimeError("Echo length not set.") @@ -286,8 +289,13 @@ class radar(object): def set_freq(self, center_freq, chirp_width): self._trans.set_freq(center_freq, chirp_width) - # set receiver center frequency - + self._rcvr.tune(center_freq) + + def set_atrdel(self, tx_delay, rx_delay): + if self._verbose: + print "Setting TX delay of", tx_delay, "clocks, RX delay of", rx_delay + self._trans._u._write_fpga_reg(FR_RADAR_ATRDEL, tx_delay << 16 | rx_delay) + def start(self): self.set_reset(False) self._trans.start() diff --git a/gr-radar-mono/src/python/usrp_radar_mono.py b/gr-radar-mono/src/python/usrp_radar_mono.py index 077b798c..a8ed9b8b 100755 --- a/gr-radar-mono/src/python/usrp_radar_mono.py +++ b/gr-radar-mono/src/python/usrp_radar_mono.py @@ -32,8 +32,8 @@ logfile = None def process_echo(echo): global logfile - #sys.stdout.write('.') - logfile.write(echo) + if logfile is not None: + logfile.write(echo) def main(): global logfile @@ -71,14 +71,10 @@ def main(): parser.print_help() sys.exit(1) - if options.filename == None: - print "Must supply filename for logging received data." - sys.exit(1) - else: + if options.filename is not None: if options.verbose: print "Logging echo records to file: ", options.filename - - logfile = open(options.filename, 'wb') + logfile = open(options.filename, 'wb') r = radar(options, process_echo) @@ -88,11 +84,14 @@ def main(): r.set_prf(options.prf) r.set_amplitude(options.amplitude) r.set_freq(options.frequency, options.chirp_width) - + r.set_atrdel(64, 70) # TODO: parameterize + r.start() raw_input("Press ENTER to stop.") r.stop() - logfile.close() + + if logfile is not None: + logfile.close() if __name__ == "__main__": main() -- 2.30.2