From 777479a5e44c5aadba2c3b1dd6416ee897853042 Mon Sep 17 00:00:00 2001 From: jcorgan Date: Fri, 25 Jul 2008 00:02:08 +0000 Subject: [PATCH] Adds alternative integrate and dump decimator to gr-gpio. git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@9009 221aa14e-8319-0410-a670-987f0aec2ac5 --- config/grc_gr_gpio.m4 | 1 + gr-gpio/src/fpga/Makefile.am | 3 +- gr-gpio/src/fpga/include/Makefile.am | 3 +- ...xdig.vh => common_config_2rxhb_2tx_dig.vh} | 0 .../include/common_config_2rxint_2tx_dig.vh | 77 +++++++++++ gr-gpio/src/fpga/lib/Makefile.am | 5 +- gr-gpio/src/fpga/lib/integ_shifter.v | 68 ++++++++++ gr-gpio/src/fpga/lib/integrator.v | 75 +++++++++++ gr-gpio/src/fpga/lib/rx_chain.v | 121 ++++++++++++++++++ gr-gpio/src/fpga/rbf/Makefile.am | 37 ++++++ .../std_2rxhb_2tx_dig.rbf} | Bin gr-gpio/src/fpga/rbf/std_2rxint_2tx_dig.rbf | Bin 0 -> 158233 bytes gr-gpio/src/fpga/top/Makefile.am | 15 +-- gr-gpio/src/fpga/top/config.vh | 7 +- gr-gpio/src/fpga/top/usrp_gpio.qsf | 4 +- gr-gpio/src/python/gpio.py | 2 +- 16 files changed, 397 insertions(+), 21 deletions(-) rename gr-gpio/src/fpga/include/{common_config_2rxhb_2txdig.vh => common_config_2rxhb_2tx_dig.vh} (100%) create mode 100644 gr-gpio/src/fpga/include/common_config_2rxint_2tx_dig.vh create mode 100644 gr-gpio/src/fpga/lib/integ_shifter.v create mode 100644 gr-gpio/src/fpga/lib/integrator.v create mode 100644 gr-gpio/src/fpga/lib/rx_chain.v create mode 100644 gr-gpio/src/fpga/rbf/Makefile.am rename gr-gpio/src/fpga/{top/usrp_gpio.rbf => rbf/std_2rxhb_2tx_dig.rbf} (100%) create mode 100644 gr-gpio/src/fpga/rbf/std_2rxint_2tx_dig.rbf diff --git a/config/grc_gr_gpio.m4 b/config/grc_gr_gpio.m4 index a3eb5568..a38a54aa 100644 --- a/config/grc_gr_gpio.m4 +++ b/config/grc_gr_gpio.m4 @@ -30,6 +30,7 @@ AC_DEFUN([GRC_GR_GPIO],[ gr-gpio/src/fpga/include/Makefile \ gr-gpio/src/fpga/top/Makefile \ gr-gpio/src/fpga/lib/Makefile \ + gr-gpio/src/fpga/rbf/Makefile \ gr-gpio/src/lib/Makefile \ gr-gpio/src/python/Makefile \ gr-gpio/src/python/run_tests diff --git a/gr-gpio/src/fpga/Makefile.am b/gr-gpio/src/fpga/Makefile.am index 363f19f3..2b6f2585 100644 --- a/gr-gpio/src/fpga/Makefile.am +++ b/gr-gpio/src/fpga/Makefile.am @@ -19,4 +19,5 @@ # Boston, MA 02110-1301, USA. # -SUBDIRS = include lib top +SUBDIRS = include lib top rbf + diff --git a/gr-gpio/src/fpga/include/Makefile.am b/gr-gpio/src/fpga/include/Makefile.am index 70920c3b..e4baf350 100644 --- a/gr-gpio/src/fpga/include/Makefile.am +++ b/gr-gpio/src/fpga/include/Makefile.am @@ -20,5 +20,6 @@ # EXTRA_DIST = \ - common_config_2rxhb_2txdig.vh \ + common_config_2rxhb_2tx_dig.vh \ + common_config_2rxint_2tx_dig.vh \ common_config_bottom.vh diff --git a/gr-gpio/src/fpga/include/common_config_2rxhb_2txdig.vh b/gr-gpio/src/fpga/include/common_config_2rxhb_2tx_dig.vh similarity index 100% rename from gr-gpio/src/fpga/include/common_config_2rxhb_2txdig.vh rename to gr-gpio/src/fpga/include/common_config_2rxhb_2tx_dig.vh diff --git a/gr-gpio/src/fpga/include/common_config_2rxint_2tx_dig.vh b/gr-gpio/src/fpga/include/common_config_2rxint_2tx_dig.vh new file mode 100644 index 00000000..01995543 --- /dev/null +++ b/gr-gpio/src/fpga/include/common_config_2rxint_2tx_dig.vh @@ -0,0 +1,77 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2006,2007 Matt Ettus +// Copyright (C) 2008 Corgan Enterprises LLC +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + +// ------------------------------------------------------------ +// If TX_ON is not defined, there is *no* transmit circuitry built + `define TX_ON + +// ------------------------------------------------------------ +// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD +// to respectively enable 1, 2 or 4 transmit channels. +// [Please note that only TX_SINGLE and TX_DUAL are currently valid] +//`define TX_SINGLE + `define TX_DUAL +//`define TX_QUAD + +// ------------------------------------------------------------ +// If TX_DIG_ON is defined each transmit channel sends its I lsb and Q lsb to gpio pins +// The lsb bits of the analog output signal are truncated + `define TX_DIG_ON +// ------------------------------------------------------------ +// Define TX_HB_ON to enable the transmit halfband filter +// [Not implemented] +//`define TX_HB_ON + +// ------------------------------------------------------------ +// IF RX_ON is not defined, there is *no* receive circuitry built + `define RX_ON + +// ------------------------------------------------------------ +// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD +// to respectively define 1, 2 or 4 receive channels. + +//`define RX_SINGLE + `define RX_DUAL +//`define RX_QUAD + +// ------------------------------------------------------------ +// Define RX_HB_ON to enable the receive halfband filter +// `define RX_HB_ON + +// ------------------------------------------------------------ +// Define RX_NCO_ON to enable the receive Numerical Controlled Osc + `define RX_NCO_ON + +// ------------------------------------------------------------ +// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter +// This is mutually exclusive with RX_INTEG_ON +// `define RX_CIC_ON + +// ------------------------------------------------------------ +// Define RX_INTEG_ON to enable the receive single stage integrate and dump +// This is mutually exclusive with RX_CIC_ON + `define RX_INTEG_ON + +// ------------------------------------------------------------ +// If RX_DIG_ON is defined each receive channel sends has its I lsb and Q lsb replaced by digital input from gpio pins +// So the analog signals are truncated to 15 bits + `define RX_DIG_ON diff --git a/gr-gpio/src/fpga/lib/Makefile.am b/gr-gpio/src/fpga/lib/Makefile.am index db5fbd4a..2bed79c2 100644 --- a/gr-gpio/src/fpga/lib/Makefile.am +++ b/gr-gpio/src/fpga/lib/Makefile.am @@ -25,4 +25,7 @@ EXTRA_DIST = \ gpio_input.v \ io_pins.v \ rx_chain_dig.v \ - tx_chain_dig.v \ No newline at end of file + tx_chain_dig.v \ + integrator.v \ + integ_shifter.v \ + rx_chain.v \ No newline at end of file diff --git a/gr-gpio/src/fpga/lib/integ_shifter.v b/gr-gpio/src/fpga/lib/integ_shifter.v new file mode 100644 index 00000000..1ad0504c --- /dev/null +++ b/gr-gpio/src/fpga/lib/integ_shifter.v @@ -0,0 +1,68 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2003 Matt Ettus +// Copyright (C) 2008 Corgan Enterprises LLC +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + + +// NOTE: This only works for a max decim rate of 256 +// NOTE: Signal "rate" is ONE LESS THAN the actual rate + +module integ_shifter(rate,signal_in,signal_out); + parameter bw = 16; + parameter maxbitgain = 8; + + input [7:0] rate; + input wire [bw+maxbitgain-1:0] signal_in; + output reg [bw-1:0] signal_out; + + reg [3:0] bitgain; + + // Nearest without overflow -- ceil(log2(rate+1)) + always @* + if (rate >= 8'd128) + bitgain = 8; + else if (rate >= 8'd64) + bitgain = 7; + else if (rate >= 8'd32) + bitgain = 6; + else if (rate >= 8'd16) + bitgain = 5; + else if (rate >= 8'd8) + bitgain = 4; + else if (rate >= 8'd4) + bitgain = 3; + else if (rate >= 8'd2) + bitgain = 2; + else + bitgain = 1; + + always @* + case(bitgain) + 5'd1 : signal_out = signal_in[1+bw-1:1]; + 5'd2 : signal_out = signal_in[2+bw-1:2]; + 5'd3 : signal_out = signal_in[3+bw-1:3]; + 5'd4 : signal_out = signal_in[4+bw-1:4]; + 5'd5 : signal_out = signal_in[5+bw-1:5]; + 5'd6 : signal_out = signal_in[6+bw-1:6]; + 5'd7 : signal_out = signal_in[7+bw-1:7]; + default : signal_out = signal_in[8+bw-1:8]; + endcase // case(shift) + +endmodule // integ_shifter diff --git a/gr-gpio/src/fpga/lib/integrator.v b/gr-gpio/src/fpga/lib/integrator.v new file mode 100644 index 00000000..22357a56 --- /dev/null +++ b/gr-gpio/src/fpga/lib/integrator.v @@ -0,0 +1,75 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2003 Matt Ettus +// Copyright (C) 2008 Corgan Enterprises LLC +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + +// Integrate and dump decimation filter +// +// Functionally equivalent to single-stage CIC decimator, simpler code +// Results in single sample impulse response at decimated rate + +module integrator + ( clock,reset,enable,rate,strobe_in,strobe_out,signal_in,signal_out); + parameter bw = 16; + parameter maxbitgain = 8; + + input clock; + input reset; + input enable; + input [7:0] rate; + input strobe_in; + input strobe_out; + + input [bw-1:0] signal_in; + wire [bw-1:0] signal_out_unreg; + output [bw-1:0] signal_out; + reg [bw-1:0] signal_out; + + wire [bw+maxbitgain-1:0] signal_in_ext; + reg [bw+maxbitgain-1:0] accum; + reg [bw+maxbitgain-1:0] dump; + + sign_extend #(bw,bw+maxbitgain) + ext_input (.in(signal_in),.out(signal_in_ext)); + + // Integrate samples, dump on strobe out + always @(posedge clock) + if (reset | ~enable) + begin + accum <= 0; + dump <= 0; + end + else if (enable && strobe_in) + if (~strobe_out) + accum <= accum + signal_in_ext; + else + begin + dump <= accum; + accum <= signal_in_ext; + end + + // Normalize for integration bit gain + integ_shifter #(bw) + shifter(rate,dump,signal_out_unreg); + + always @(posedge clock) + signal_out <= #1 signal_out_unreg; + +endmodule // integrator diff --git a/gr-gpio/src/fpga/lib/rx_chain.v b/gr-gpio/src/fpga/lib/rx_chain.v new file mode 100644 index 00000000..172e978d --- /dev/null +++ b/gr-gpio/src/fpga/lib/rx_chain.v @@ -0,0 +1,121 @@ +// -*- verilog -*- +// +// USRP - Universal Software Radio Peripheral +// +// Copyright (C) 2003 Matt Ettus +// +// This program is free software; you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation; either version 2 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program; if not, write to the Free Software +// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA +// + +// Following defines conditionally include RX path circuitry + +`include "config.vh" // resolved relative to project root + +module rx_chain + (input clock, + input reset, + input enable, + input wire [7:0] decim_rate, + input sample_strobe, + input decimator_strobe, + output wire hb_strobe, + input [6:0] serial_addr, input [31:0] serial_data, input serial_strobe, + input wire [15:0] i_in, + input wire [15:0] q_in, + output wire [15:0] i_out, + output wire [15:0] q_out, + output wire [15:0] debugdata,output wire [15:0] debugctrl + ); + + parameter FREQADDR = 0; + parameter PHASEADDR = 0; + + wire [31:0] phase; + wire [15:0] bb_i, bb_q; + wire [15:0] hb_in_i, hb_in_q; + + assign debugdata = hb_in_i; + +`ifdef RX_NCO_ON + phase_acc #(FREQADDR,PHASEADDR,32) rx_phase_acc + (.clk(clock),.reset(reset),.enable(enable), + .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe), + .strobe(sample_strobe),.phase(phase) ); + + cordic rx_cordic + ( .clock(clock),.reset(reset),.enable(enable), + .xi(i_in),.yi(q_in),.zi(phase[31:16]), + .xo(bb_i),.yo(bb_q),.zo() ); +`else + assign bb_i = i_in; + assign bb_q = q_in; + assign sample_strobe = 1; +`endif // !`ifdef RX_NCO_ON + +`ifdef RX_INTEG_ON + integrator integ_decim_i_0 + ( .clock(clock),.reset(reset),.enable(enable), + .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe), + .signal_in(bb_i),.signal_out(i_out) ); + + assign hb_strobe = decimator_strobe; +`else +`ifdef RX_CIC_ON + cic_decim cic_decim_i_0 + ( .clock(clock),.reset(reset),.enable(enable), + .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe), + .signal_in(bb_i),.signal_out(hb_in_i) ); +`else + assign hb_in_i = bb_i; + assign decimator_strobe = sample_strobe; +`endif + +`ifdef RX_HB_ON + halfband_decim hbd_i_0 + ( .clock(clock),.reset(reset),.enable(enable), + .strobe_in(decimator_strobe),.strobe_out(hb_strobe), + .data_in(hb_in_i),.data_out(i_out),.debugctrl(debugctrl) ); +`else + assign i_out = hb_in_i; + assign hb_strobe = decimator_strobe; +`endif +`endif // RX_INTEG_ON + +`ifdef RX_INTEG_ON + integrator integ_decim_q_0 + ( .clock(clock),.reset(reset),.enable(enable), + .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe), + .signal_in(bb_q),.signal_out(q_out) ); +`else +`ifdef RX_CIC_ON + cic_decim cic_decim_q_0 + ( .clock(clock),.reset(reset),.enable(enable), + .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe), + .signal_in(bb_q),.signal_out(hb_in_q) ); +`else + assign hb_in_q = bb_q; +`endif + +`ifdef RX_HB_ON + halfband_decim hbd_q_0 + ( .clock(clock),.reset(reset),.enable(enable), + .strobe_in(decimator_strobe),.strobe_out(), + .data_in(hb_in_q),.data_out(q_out) ); +`else + assign q_out = hb_in_q; +`endif +`endif // RX_INTEG_ON + +endmodule // rx_chain diff --git a/gr-gpio/src/fpga/rbf/Makefile.am b/gr-gpio/src/fpga/rbf/Makefile.am new file mode 100644 index 00000000..0bc4311a --- /dev/null +++ b/gr-gpio/src/fpga/rbf/Makefile.am @@ -0,0 +1,37 @@ +# +# Copyright 2008 Free Software Foundation, Inc. +# +# This file is part of GNU Radio +# +# GNU Radio is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 3, or (at your option) +# any later version. +# +# GNU Radio is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with GNU Radio; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. +# + +include $(top_srcdir)/Makefile.common + +RBFS = \ + std_2rxhb_2tx_dig.rbf \ + std_2rxint_2tx_dig.rbf + +datadir = $(prefix)/share/usrp +datarev2dir = $(datadir)/rev2 +datarev4dir = $(datadir)/rev4 + +datarev2_DATA = $(RBFS) + +datarev4_DATA = $(RBFS) + +EXTRA_DIST = \ + $(RBFS) diff --git a/gr-gpio/src/fpga/top/usrp_gpio.rbf b/gr-gpio/src/fpga/rbf/std_2rxhb_2tx_dig.rbf similarity index 100% rename from gr-gpio/src/fpga/top/usrp_gpio.rbf rename to gr-gpio/src/fpga/rbf/std_2rxhb_2tx_dig.rbf diff --git a/gr-gpio/src/fpga/rbf/std_2rxint_2tx_dig.rbf b/gr-gpio/src/fpga/rbf/std_2rxint_2tx_dig.rbf new file mode 100644 index 0000000000000000000000000000000000000000..60e194eeeb0e810a5d754d7dd3cdc6a58772ac25 GIT binary patch literal 158233 zcmd434}2BXnLm8)II^Rq-8+*>GQ>z`CMTE4&4q*zF|{gp&OP^Lauc{Dgg>k8l7y>G zse)is+SjdfC&Oh35keYme*U^`h*uhw6`|2m*VZIlY^1DzkSgo1zgLLSrPQ?~1R7n$ z_n8~;&$j!%-TmzQe%>KDnVECWbDr~@bDrmWp7RW!eDcL>?Hiv2(SfB|=udCZ7fb%f zPjq<$UB0~ao|X6Av+~|ddf#m;Zoh5C!t3hQqNDF@db5tt{%`-`J(^!-G=HD^*-x`t zB)}{90}X%rBQUtAOa1?A;Zb#xkV3ZOY-Qz+Lbxr(f0`7s0rw@Hz&OOzLK-8P9JCkG{|8s<1$@*~T&Vp}E_u+gh59D^{=Jzb^Z1t&a(VguWXdq}U*YI4B6MUtKmV1C zi~<)&M@#2rlK+Z~{*#27CnJ$>rVr)M%!vNa_VGVSaeC!_v}eyNhciiLvR^ZF`2CIxBO^Q9WLu{C|BDqflILL!73BYEk~PzPnKcvr znd`_O@4x?*pG>v4Kgwmj*o1fm=ZhP4#><@05|skVO6z5MKIUds3fqPJ{Eb75qoAZE zG4PfphGkB_|L$w;35jXcATzf+Uo_Y-luJ$wL{NTC1Ch!El#5X=(R6cDdACw6mFtkv zsK;!FWE)zWa&Xp5d3!NDOxKBOx_ zy_xh^g|?T6J;`CcH?9{%3`X28I7jpI88PW*lI`l{%yl+KkoU&O(5~PH=TfGgfIc74 z8K20|q&0PR);6 z$7?pbSps!25^7rvXJSSzO^pBxp3VNE;sWT z!$D&ycT=c9~jNefHArxV-W0g3vQoe$nd%Z;4<0Zg8zA!>H*3@!pe#Wc^G*;kdGmo zrJ zHh_3HndZ&CbL;K>NyH@qb}6!^As}^`@p@p4g6{0oep_CMLUf~7Jk~Kq⪼js&b~rn!+(ZjD>s2mjyNb=%yyH^4Zn#H9>Z{ z(xzXz_ZsvVu4a_!F_L6YriA5UgG#N1!(5xpJX0Ph5|FH%f4Y+z!KDPNng6u zX^w0(xH*)W)$rb>{-^w0u7S`$Zp|!lntAhS!A#GfjSOj$nd?ws@bN5nfOVvYpl$6l zc>oK3%VJE7ai%##)iPDR96EFKN1rtYcSeRZR3Nfj7A{w`moD+_m7orw|0?o|Hb~f1 zAyb`ZYnmswq+1)52evV5zxv+Q$Q0P>a{MZ7Cd=3`rM7C_Q0~@>=GaibOh-|+H|~^F zX(|kHGzW%)$xWiDkLgV-D0slY>6SwhhYP;~I*|~zR}HgB*Zqv20U?{1g&{`p$HYlr zN;SdeLhG`{7;D{3=u))7A)C1~TaLJ#n9T&O23C+>o8d!~8E6tZ0(|sR)L(L&ww9G~ zDh|2;^g{lj0L6Uv_~$BXsCp{6yji8*7HKMPOT<@)MC*5o1K z%*lrfH#FIs?zT6Tr-w>IZ-_Sv`8Vg}L$T|_+spNWzyewj@D!~3>c-s2S|~PEPV+C^ zfEN!vI&|`#p{AkjYu>g;HDPkdU%heY>Vgj{N$!iz^)EW5q;ra$Yw%M!nP0m!bYR&inG*DQP-hHonyNoqsB5vx z%@g)A4T|efJZo(2d>v{)>B?np!AZ?7&2|(1;XUj5ZYVzeyK+x~eXqSyZ&{mb?>?^s zTbn|sNKbUf^zYi2-pV=l!j&*&aS)q}r9gugp44E5Yq}2}0C&N#yWk4`WD4D-kLU>~ zr6gep+(KWU{|`>+Y8_EaCM*p?rAwD8tFTNnOTHJ&EHvOQO#|6Mjm;jv?Jajneztrd z7ammigt< z4EhV1~1dAP@@N2<9DD2$Lc0${1=~dV+h?p6(pv z$}F$}s`~czrlzT^X?viUE1k@1w~hvKh16}%)el~kX|&+pK(F%lO}~RX)0e3ums3~R znJUm#sAIa?BkGb{qMDe1ErU14na!2c)ZqQ+FQ}Vo6Po<=3M_I>s0RFk@d7)^7_f># zkSdf>fg__A_hLYXEpD?w+|+DVMOM_FXc$t!T9lOt}tb)7cqvIaqm9WkH33)qx)nLJLfn z7Nj);pS=Y7kbq9Q`7AI9pvE}!OdM(TnFpu~BgbS66m$oS0_Z81UNfu+)1ApiFM&2? z*7Ek_#Qo*}08_~rq(Bw$3REM#G$UJg@PJ`Ci9w7;kn49$t`~P|&?xk8y8#mW+8$Yi ziPcPXA7=DgmbSQ+K!ik9H6f-Jx z2$mppIAh5*RV6SOtlxI8B#bBWtL!#R;D&Uh4Lf+s>NaxUK0z5UPK#}|iUg-MjsarG z%uz!HV_<0TLqN>PMrxlt6Qqtzha(+aL^8MsPmR-B+nx!f^p+~BEyiWEIfQ*!^)ade zZO>>itr_kp!Axv6S()iz9MiEF;^j0MjC-QfF}AYmBUc$!k*X@GdJm38HsMJeucBoN zc+bIcPL9!(Pa7%G*IJgH@{vv&RegQUMw#O8qlW)d-fnCd&CRdcDct7k>pN_3+SRYF zO^#UuhHCv%P1%;w(F&4tb~r~nCJn9a#di+2J)4@s6`@pk5LZPalQizb!{D*+yIohK zc2e!5EiIZ#qO8^&wS7KDTZ`Ry+S(M=qP{-J$r*vH)E@PTe(djyXq)?QH~h@u4x3In zPGrh3>>XX@`hAOgaf}|LKch-2zBnGE8{@6at}jjiu3T-d5bMG1zUH+y#wiMq zDHW!SAKG)XfC1nJ@+ypNwB>X^Io+=IsakY*^Ml4(kh*bL!;>Q;fDIxCj8yXrzqxuE z8N~PsA%Ec|lONNvCWlXfxIoX{bLQms!i`NGo7Qw}Qtb==RCRv)?EmxBf|qK~m+R}|>-n+Bq|gMXEjdl= z;<3t~_+pj60$^}X$Fab5O&{Ew_1?OlHBD_CTktQDi%?+EA~a7ao?{SL2tNlz+0i`+sqg7z@GsPxpsax zte(#x!nJjUSIVlxS+M4+1)EXJ;xgzx3=7{?pp0t+4AWLsoAJXd8B&uYcFIqR+E}pL8c<-xYj1gU zn7rr|(VnJ$YGSFr!fR)Ccg9%xf{YY^AE3oh?)3%1fV zm#nE`2Gq9>a9gzqycn!r~xYyf&wO z67~R!VD4~rDFy=WZXPa~ce|(}zviJv)Fay}xH&XeyE*`eEM)At`OSWJm)wL9MG==i zUxJ|?BQw=kK+0+6)dDpH+w9g%uAQ(p0_lus2{Ub5D1UzmGze^?%r4Arar$y+0zfnt zns)Et2Cl*SgFE^)1-h$T@&e$c&^wt80>_r)8WdKC?G3WLY!Id_bVEm=O0zd)&B&0D z8F-Dow%aG{Qj}Q%1#Rf5$xz^5hgS~Lf*e7=U6|}QXSmx(=q*TyMTHXUQzoL$BKXKs9rVXpr>+ZO+^@dKD5P z>XihU1p4N(1p^QNbd|U*g%}W|0RJ@T9}rPd5Ga_;gOv)%Qvq@&{0Mz2QV@p41QVwV zq2?fKCc+}-u?GemL>y*i09XjMYpl#IYG4D}Ow$9R)KP#nK+n2F2@um|=xYlgG+2oU zCR<`N42W*K@`dS_J@iV}l}JLUhynji&`p2`HxHx;6kIBqv9RIqQVKZupEhOYhg%e` zxg_%kNv2yc$Y$0A^a{ERbAb&}m^RNZf^A?i>?Tu*YBo^OT&BvjoJ7ir`-iwB4AV)r z81J<%sOQ>$b?;nsbNye041X9 z&L#2TX+$DR9JO?SDF~S(G?8$u2X}_PgxYr!ciQ?eW(w0->s7tfVlhA-D@Bmt0GLyo zDU!nYwY265N?2l%r3YssLcQ)xI}|MMpMh_-_j!=e%;Q?No!k3wKfuH zo6sGaY@i+W>9uKlO`7UwC|zua$2xL4dT{Km$`!Su-BeW4AQV-QHDh>E^)>mKC>17} zZ~r#YPQ;J-x=FAcz=v;u_PsZ<#IAlk;Q$l?3tXdCryA<3chXn>=^0yLcUbkb*Lh{Q zo`yzj8m_g`l2RWvV1O$_$tUEywR6beFNk? zrl(4ZqQ_`AnF9ltZVmw;@K46=XkYs^*)f9~21GK6$G$x$1|W%sQ_ExaLp`_bPwSF1 zN;cWjg>WE7dTctVX>{wsA{iI%LJH2!st-hTC)mGZwuwqg&-r5|f zbHJlA>2%N<)k?B=X|4FTG)}8%gdL?xwW;DJ;435-h161;55gZGl|?evP4wU*qmeevL8y!VPh7SiC0va`tf3 z!6|#qn|4eqw^#2GS`Yar0GqTn?XjgoP(J2Dnh#dw(hqV9=v2A=wd@#~s;_>9Om)@ma6KI_eUuY^X~M2~X(APRY2%@f zbgfFuO>bGdGQInJxC49_Rj0@S0&b2Hy3HznqxP+w$se8r!{%ZWl#4HWFL>sXuOfp@ zg7zk1OlyMGbE%x2FLKC~nn78Z_0-4y@Wzo5%ZaEX!qfCB&_b|{1kHm(m^G=@jAffc zy`oVIZ~Ze}rBfb(RT(OG>=1*tIwH8!QLa$%q{qayOkf|_0gPZ%%7W?-Lmj1sfbQlH zsc)pHR|91M);=;51v?B0AjGze3DE}Uzuxg>LvnQ&IES?mh7GYw^e6?Y4qMHcp1iB0 z9?<0u>Iym|P9DD6QZ2QeNwgDy44@dT_F;W*-(Tlh92N}AYhS6E2~fwdi|$nnT2_xx z?N$Af0~!|q6BztKqnfo<=qOm(8EwoF2HA$%)Al8eWB?9uv4qM4RAr-}8cztUc?z@D ztc9La`fbw#00`8TZ6~&l>8z?DCzv(>pV{6t+}oBvUL+?JompjKot1Y%GbV#bwFg=k zYB}WhfZiVg8{`njBpTPwXfo&Hv=QisY%>=YoqO8uQMGCo35_a<3#s*T1qRRri&V2r z1#@8D^Qg^cCAbWVkzxEzhjAUkDi_&Vyu-M{3s&6^gQMwMPFmH0UzN5qQoW@GEywDD zVdhzV9!Ba~O~t#riDty?KCp|9X^C8f1LFt~aGDy|etzLcPh*eFdRZ;ZN{v*aQH7y$n~qsIl|`i> ztDc~VK1qX#6=EEDmtE43cu0Fcrmv+HDO$3$UN08fV=Zd^T(- zbn{u;W395}j$Jk-y~%RNyxIX|9Rb7&23bYD7D^=0R%z-cKd=BZ-=j7XS!V&g<#l06 z(oh+QS5-X@tlk_J?7Px0d9{96wt6$tO%^sOoQAAOi*4D&XUxhBr)ecDzFRcs<5fXc z_JDXTCge_WN%q5-+qT%Rn}4NS7ThzcyL3iO+evB0EBDNBT|G?D^@IDcF9GsKI_VUN z=|QzAD<`h2)lVoO4mDxf<;K&7N3w3<%zmrc?$Cxcla>3i2?8Qz8uTRt2xV4gK-anG z68t#;jF2_`~lJAZf;EJct;rX0LPP|!iBC3AZz0l~4vy}xk7TftOeXjf5} zY4P?>45IU;VB7>X$EInDLY~n4?nP{+A+i^5<4rR4_BB8%utoyfUQ)M zk!Rah1+1&6QcitPR8}4vvSD)OiL9YnQO43_h~rev6!F^~j_6GO&12@H;VN=?^W*bl zp@X<~ensAdj%)X|_7&9*i#1g>Rxue6_u*X?v*We)E-?>WK()W8`vy|Q*V>CKVlm$* z)SlP6y&}>|QlpPN*&RK(^;zwQ$A6vu$j>T%f%|>YR(n!${G(3A$U0}+XuB%*-F|qx zHra2?8*ysv9nJ?S8<_=j|ek>1_9=XdzyV)f?u6yJj@> zg9bTu_u|&$YOHp&y6>m+;<0G?vF9G@t|_X)HN?8*Nql-jI^I#;pFex@=CpITc}b|< zx%ZTIQ5$ihePe4=N22ZJr~EIEY}dARY;P*Cp6|N4W@U`*x;Lck;zRo@&Q{>r6$Nx( z#Y6@E+lqM&p`XHCsx(}IL+~bNpyHYMV-+=e-eV0lV$GUZ%?H(==wj&Kx>K>52md%3 zubKOS{p~eN;!$<)?|(G4?TsasHUG5jpKp1Aj#mF9dU~o!iy3|GPn@!z9eJ`fzBIURSM0Im(P5t7ETT;tc`FB;t7LP6-t@_8*&j&_pCd6Yk&;4!cmQUi;zn}KS zPp8g4c>IZfJU*7__}A+G`)guP^!IH~g`SA|FFO5)CybW0spjbJz!RzWIzDJWFfwV3 zY#-@3U|f9Cng(odh@W#JWzLN*qp*8Ece-@+PfnLEm6`*QB-5^@2Z#2Cj^^K(mw)r@ z{G9hcbs~i~xra;A=bT8`bt1id`^nm~_l9q-SkK2prTlq}*F#bftNbIxMY_rAz}b6R zW7^q!#HSXnta@tU>c~|2z{V&`6tM^a}D8;;gKQ1w^s5-SO&r&{$$0##+g_7b7m!i63~ zs8L9sg!_~(T4VL*bYiqhzXA72gGM_?G|PD!Y(a~yw%bgvp=o4GN3ZSp#&z-Fm*(nv zF=r}Vz}4zH!LY&A6cto(Se+=0C^BThu4Z+~WNJNT9PH{UqgOiX zxrT%QVh$%1w&s! zX2JtQIEV$=(+tB6-5^98q_X8Oy;g4p90WNkD*=hdr0ZeC@o4K<%ul zSXgC<22J_Ygn(HW6{w~=8eWGL_rlyYJ;-$g!6h?*E6jwznpbHKa9~(Lmb$%kIYw@e zTTD(HY`)u#g)uSXdLQ9VFnSFGnGk*in8VA4tgnK#2kZ%>4Y-8?YoFovgI@x8!EhFL zh32+(1tjhvC6Q;!ERsijAPfh<6>tN=X)rk$TLZYxq9Zr_+{J!)sDZj`f~7-4U`pwT$woPt;q7`o z^iKolv}LQCnL?&aN|eK(T0yNDWNaD$L>%VC#`yske?g}{ce$N#30}S~<-V{ALM%X7 zu$ov%pc=>&F5_}J=w7pppv!QH=!XjikbIRH#tDGKFhBAvrv0iY+n#_R2s>4$s5&C5 z8lvTx)Clshsz@C}f$A%Ow;ahhprruHdkv+>fCH_!E3SY&q^UYqABIH*O`5g`IWo*M zYymR{_=aoyVRK?-<_tIuXzdsE z12-PTvNfS=&IiWUVXdRT*59K#yDK$q*4mD(BI_T1;b4dDslR3O$by!K!shWHeO~=>SbLLiNwE`9;(dSbG_# zMXTSf+D~nJ&*pj(O}zxk06w4YDxnLzF{py4((~d=aE)&st$FXjM!$7jm5w^fPw_s{ z>QmMB_P*vV$!+cPw3fB1+Sk7WybZfYHgl?P{H^|J$a8cW@+@wuJ~oLNoA^ch?2<)V8-Z;3bN%|7`Kgy~E}pK`kZoK!>p zsf?4VV`@#u^adJVjo~0L|{6%|NNyei!?V-w?x1Ar$9H8BBc3!G9Yf{@i9bdEa z*}z9R8@8#j%4f9+I7Cxd@0n<7c}3gUG}Z6RO+Mvf9yN7+a$c&0>>!W8Z%^~D`|fOd z;ry2Yxx@)9^FIxz`Rw>i0Y&@gBW&(p5a_Wa$1)y?*c{jw4*}z0X)G}y%0llXUbaL4 zCypko>}(AMHHc4!NDDRSFq&x{lLU?E9yNPk6DZF5G7NeRlmqN-HPHU9vqvxp)=`OI zvMQjFctU1uP?Vr-ZG;95*K?1K-1wYhc$F6SNDKlkvpkIu6afL3Ue8@R14_(|y-XmC zmZ}|G(Bi5&8>~OZ8p`69O0TTt(5zhs;$_=YCJyRed?jdg0UDMu(^JG&bG@LiLC5&m zJO=e95_e%vfsHfh&jj-FhTz%t+plf)#>FVagL?#ma)$I?UXQZ8Im3k{swvi~)tu9- zL_DC`CD5Io6T@5~<2OKD$R=I}Tj)&UUquuI70QCKazj^;Og%DH5*&gZY{HF-)zDJQ zt8y56GN=VPh>hjcpybK_>Xu$db45ZtSfg;(QV1hppiXMC?d(DYx5IhYZq=iK%LqUR zD1BY-!{|ZFHU)qqepcN1%0sb}I>sKu+(&m2T@SM00vn{wixuQF5_cD#C$W{Fh@(Ro z4hEdoqNh5#7169-uh#@%FeXh-lnmPfXVW`OV! zOcEWH;a(L+LF+UUswT7K#Ky0ls~yIIzzefxHRv!JISDpTPlxlH3CXX^p|?;*j8=%( zicb%F3OS)#ROT%wS7yFcAoeZlyT4M3fs=F*RJv>`1wA2IoeuD zI;~OW$1A@?iAsbeynslKttb&2_*+UO_w+TNHG-;Qh~1RHJYr2d0oIei!L2$1%geR; zjZO#me&w7XTO|fPa+>cI%mmNE=`Vo06Lr`ls&ZWNH)&Fm*)FPwzjZr6HqJkDD_7g0 zju^OC!^BcCR>28_1&E-Ts`@#^D7Qa^1)gf6oaj@KUPgNboezl?z+%26(U|~us-b8Y z39upom6j1lw)%(d;+f!iGvu^p1i41*hc|38F*tB-(H2EGB2S5BIwgEPj5t>Kl&HH? z!K?T&lgBQ#iZS4h?E%yY&Z7iDvf#kll&p{T#zX+ZsNd~TmWPN9sIt>XMAM^yFBGXc ztvnlU;=^n$Q_KWryevGSsGJ(pI2Gn9oJ6z5An_!?3){*6+8vFe>~>gguh0V*=(Ct6f{T* zhX51;4#yaXD2mJ|2w6do2+u#f&K89-Q3O3AfGVq7rAxD^mTil?2j%d1zF1YXgb%UU zdy<}{^OFlkiKgyX9k!&oaGzweC5c9-y-!Orom#LN4;QN4mZPc(ahLa_7sf=nTffGD zsG9=X%8$`uB^&RG(|j=v2WsSL+%0CYw~AR{_4xwbd8DnhZcm~&QIyzSUCbKRs-&tp zss@2OidVHA`-gps$(Rgok82PXaZ0#05*Kq=;YD5HqKTbirzmAH*|>{Wd4bLj=MZML z?n|hKwG$5tRd?_{!2_`u^@V-9Fj7=0%%!!Dwge)z$zgSe#*ooAf%A7%s9VMMp7zf6 zGbPtN&3896TyrOX%YE@@vY+9P)%^_5r$Pb!sqzdR7xg9c3nKkECX6i~=V^gHKsQ9r zW~tZc(UZ%cy{d#`#}CofEr%vnY}o(K#xb(nF6k*bc*A>vle-TH2lB_} zo^9P-va5K^I^W^D$x+rBJG6&KOYAv)JAA2l^!d@})l=Q0+Ig+*@#C9MX&-5Qk5|-W zPY!kGiZ%Kz`}lJk?h!(*%O6`P)y3)tl(S)dF(0F2`lNDO&c1lnKwUS#{Js_Y>$~}a zdf2gVexPo0w7mT_I+c_Cz#aE&erMCfiZ|A0iQV{v`jUgC%9ua#+^VVi?`{`v?yeaq zNtZ-_@_vmLy`gXKp50nMe5s`wYn}H31I<$^+3t@%;`~J0-rl!OTcj;HnUO;HjqH=+ z06(tdf|cWxkMZZ0<9TBBKJlE`bo26S8wwi!ZK3}4^9udO^2K$r<>Phqnx+RTPG;|` z8{p42Esx#!@xwbG2v79oZ0}lM`U`ea?WijS?>7@ll` ziC@*Q;+eX0+4a|pE5YkCo2-q^EudK2ZPR(W6gAVsj@(P8WaFXRr5cwFUM-T2cK@N_H;~^lIw)S_E6U9@En1n6xt^cg@EdTcEkCzDYw|bO$0|Sm!9qwLE?<4)$FG;KzW*0z$KU{$bw5oOk!mJ8%Df@4N~7oxT2vL#G!#HF0G0`lmkFeSLDr zx``&IbnQoLAbHMz;fbdnzu@2g`1yHH{O2lIZ=1M4pQ|qwf}XjMp?PqS2?+6K0Tj(f{kR}XjM8Z-Nhb4tteLxZ-}k`+Vb|N_t>6K;$R1i=4=_&9bkuHbNZ86@OjL;|-|flq zYLC??k43v2A0t<`xZW)kJt~~CI28OavEks16BJ;u*CUG*KG*YzvgqN19zdEw&r%g- z&$o=FJj!QHYOx8_algf22O|s400LS%F|2I19B%LbQKe`Dx<}^nZQD}>jvMTW06|Cr zpTY?h;HD6ejQAX@$xbqjwgsUc?g<}+8TA^Px7gsEYkzQXCLEK>9xIDAa2P(L!mLrI zcj~&NdlMcoFu^NCn$L;IP>^kk2G`!;$Aifr;{djTD56uCYvatR`9q>rrh`jIxf1D` zSK)QAX)T@)dtm|@KZL0i*sPonJ*@NQJ z<%8Ko7bW-5v0q)8J$ukiAs$K1(B*jlW!uZo=R73WhP zg*~!omZxbc(IlAf3Ss5uCT$PT234)x@e%ffD&BzA4hFRmi`mTC0b>Ne{uPLrMynbq zs#clWp(Ywku)I!|U>*lOp?aaQISAMdfJb9XV*r(rgbDL$(6We6<(2^YuGNaT%`h9^ zUJPRffaYpyWA=eyJL^MHXU+uw}9V+oRezDs@4WAaZsQnZ>=db3{Qu z-hBlU4H=&l#1uA}yd+FWIS%KFiIfQYv|b!0EKDufUKH8+Os6}UWG-oAt{G5%JEw24 zf<5HK#QY;vl-zBA2r)?>au;P`HhQQ#p*;{oM}c{u!g+~=Iot=^AG41{89=h8Bb969 z$Ny_ao$fmDRJ%E%YUT{6r5Adl;y%Jca04@!1}oeTla}Z*8-;%$Bh+cZ%IaMrOTsWE z6eHU*Z)$=V<@}T`nszrVAsytoR1ZQ2fLxUpRG}wTsut=`A<|OKCJ{aD!%g6Aqd~xS7`1g9ZJtCB3LC&u zBTc1k(!rt=_~z#UkWx6Mhu45r;oqQ+U?Zs`9pNnK46n*!7etDitOC1~po)PxqJR!G z*_&3HjKgO!UOoZaT?TgQ?(!C9i5|lXdmJSwGC&NP!x7jT5rDZCrzj?D<~XY{5MfS? zeD!9Kuj;E4VaO<)x_at(nWgC36$Y)nQmg{#8y1dB8l^aPRU1iyZ-GM>8 zR+x-p*x_)pSP^-LRT5h}dRopze<0q9NjC6)molLAsUo;g({Qd&g$hxu^X^;*VFB6Q zq4tMK5oKS^p*9P1Z3*e6^%!d~Z0qG{@>BrVeyKgx@%^hBq%Mf4 zAHa5+@2tqm!9Jlu&!s$HfY}owRoUQt+$fbP28KP=H^?=+t5bc3nd(t?MH@&{qc#r? zV)hVoSbdsUDck6zNV$d<3Lot}Sf;D7Wdq7}5WrkNsnFc2)j4wxR?NeQ(D=gf-J($>kW@@r`Hd^}u0Cl97q=ijatHIUWxn35)u*BXXHF&Ct^7u#d0 zspDObchyF|TYHrBkd~*&18J!*9(cZQV0fYrz7D{X$&Sa5(aDkaCGEwJ&>eeDr>4}m z4(?P|M<$Cl^a6X=HdPTRHz!v04(ThL*R(n^0f;JWPKNbZ!x+A&zN>2au?^!nAg^m^ zLC$$fqZbYit-qaA=(nA#iY#9#E}=0YjYFe>Us}ghI^p!ZF-4+yb6_Cyyfr!M3ptfm z>AVhWs(I9JU;Xln|2i`F#b0SBY$&uvQ;aZ_&O)^Guz*0TomH<(%bJ;%a(N-O;QuaX=qvIv?J${lz)-+v;tR6}hDq$Mo*- z?a#ykBi}#VjDKR620reoU-8}O6d{XARp8~}x%Ri8n4@jCiuVs+>}wurhLF7)W6m9~ z?3p4?Y3hPQTn^#xqO<1T-UXj#({$V&d?t9XsYU=-rTB$|+{|Z!sl=Sn68KJVq9Nnc z9GZ^L%aqHV9I8Ka@{Kw0u+3Ediw>T zdhfcA1${5ved`bSVvfEyaNa(+H!zWYeXllg=v(mVhB^2N;Sfjgm zdqfDb-f;I29O>~-TOJ!626ISI0QXj{I!j~kqLmgQZA`vcE?xKGk0103{FSD-k1|x| zhv_U*K?lLC0X0;X?Q{w{qWsq#DmDqd61V|_j0$K1$)nm>f|Ie`Q>&O2+92uh{DZjW zNTL&fDN(oDM5|OmWx)pr22wiPLJbO%XF)6xfN_M%I!Ms46xQS%#c{wl(`le1j$)@1 zhLsS1+|C(hSmpZzaTJ`9Uxzi4@JWE);)zCxC~LHR){LOVB#_{B1cCE$hPTDmgB_&H z7K|-LfJzfv!58D$*yJ93X_>`p5p}23=|3VGDVM5?nD{XyP}4X~1w0*@{@ zd3mp{Fr1}uSc@egpMR9Z zSBN$oVm20G9c<}MHou#din}q{o|I_N@;Judz$v*($_b5V^9{&xkk^;86SeIP#vnG8 z(FC@tjaBpeAJrMsrhAm)?uMnbQ9%hfBhO~DS7DPyb77brfJ}yI;0D8h2YZloN@Y|r zxqu$GldQ6o$~w^?+%ciO{ZiC}zwXKLfWKHrgMt|4As{roGojXUh9E{#QZ_^iD)!8#EN1r0XM677E z7mLNLN!eK*H3vIQxI?%SzO5cAVuTl z1Vn-IvM0z6g7eICmj#|y-uq?KV*((7`3Z$!+k;Lx9J+r|1y#ywF+mTp!E!)Ly?|uJ z2;a^#dS{(i^vuSM+HBeu;w`am^U@|ek7pz#!GW&>;>N)l$g*?xI0A2%Ic3h*7rr4MOJLh! zXVI?Z*#Zj#k2mWx8g-PzmhotIm$?dKg9m8Jdn{Rd%&Bj`stcdcI3dY28op+NDNt|o zpkLgFsyPY^pqp$K3B;|7jE-QdwF>f!Ifn*u18Hm^B0w)MC&*HTr2>=+D4zE84=})$QqW zWJezsA{>NqVy9CC1TNohhXhOemNu9WoY|VaDwNk15|PC(&4CG=-JBieJ){1Z!0`(IM@ut6VfeVx%K<4s>y4Tv5C1&$1CqXWR@iCazdE3c=XBwrE_xRQ@0gg~ z6c=|Du|=@2Xaa|Xv_QO;Uo8C1K0HnZ@wnKNZ8h+YQ#fBp!0x;Fs&<3y*1Kfm4(E<$ z<4IL|u zGNSWy=I7EP4p~Xn@#Lt94Ypu$y`YMfZ@CBkG0o_AbYyS;hqHROu9VR0II&5}?`{a_ zOt$(OP4R$R3C$Z2;>+g@%}J#BoWBwBG{;a!x|Ul~clZBrq%6h3ACi$0r?}rKOUh15 z3pO4c*0=VPtu$`P+D=<3KAzXpkvKE{$g6y7_>)z_Ty5+9`dOO1XFRv=!R46 z086{8?yM_Koiox)Xbmk5pQ~^9TjQ1M&Mgm>K6Je_J8$#Pa`rv^&vhSmm*3Y`vNKlu z@oPs*oJF%fd?U64SMW~Z&$~`;omy3&v;XMNx~n&e`;+@DXH|Sr0{%?V=)Y=frcSTj zQF~)Wb)_}Oi1ip7rL#T0AN6zwe(itf_{N6$e}C=D>=yhlb}QHt%wr*;AerCz~G^x_(4TzOnZo-Y>dyZi!U- zty9XBXax>f<6ZWhR$x>6^VXDa`%|@{djqQ|y)&6y*#2bCo=rUmoP7u0N>6>*cUSqO zq3;b%d|iL^q_}YQlBS`T?|##E5$qm7u(!+_Kl!5t8{k{jyE`_O9~#=b>}dY|c^UWV zOQ$OTxVS%4Fzeh<-kFm>x;~Nn;;c<)J2vezMp98o_mNf?xBd^nt$eZje`N%jiortNHzOcf(zI`NhT@cD{$q_K0|$Pp zzI(=Y3sg^meOwqYpj7fevQmg?o+_lK{4xi5o;mhz~QB6bgI>C)&g)`O8Su1 zme8&yPs6wW*&e4NAuGHCr`(2Q?zB;(VWVD!mVpaEIYDQ%agz6{DjUq2Q0L{qld^>Z zC|@d|9InuV61Yq%(}Mxd4@bz2i5EeK!xwbN90)zy%4%MRT0P*oDX0uE`QVSO6UtWc zF`N%>B5^C{>!S(YE{>B~6^gQy-{n2IPuD!{Y*CB-aK6XL)n;)-N=n=+8v_i>5ioDW zoyA(hkkrlW_t^mL=Z!@M+s4^mk4_WF0_QLy`lX08sSgYxgey>Po8tADtouKvG#UoL zC$2S@kqTtnZ(oJa*eNm#C5QF?uJ$46UY*Qgd;<&~2bQ*&%v?WKV5B|qJ zyQxgmb-*k0B()=5WM^x-CJBXN-j)NEk`u6?QZz`7V`p26kd$j;PI@!It<_KK%|L0n(6}o z@{4OLb>prC>DK;6H!yEsxzDe#B%AqLt&Q1%c~1eR z#>0BjNNH$^qjX3N-)$FygIDg6tRmr>SZbUKBs$)(5{YE+Nf!-ic;DDdAP#NN9)=#kSz_d`%gQ;3yNrvm<5#?KBC zq>o7bO44z?j76LbyFdsw^7^HSLjh;vs}((;Yn2&>I7EbkKZ~|oB~lxI zwpMCe+jqCk3wdYrEYQQnaaUwOZ=B-5&+1i`HsEK(w~~Zo2RH zUDsExMwARm=I=T8xz9QGc_KU5Y*&1s3~o5rNn_Kw@QyBkR@r{d^L{ke(#+srduR!GJ zV`}T>DwX-7M*31q{&LArjb+Sm_sb1g6wCSvPJw<(j=RjBRwSLs(Lr4 zcc9gv7WG)w329;{Lxeo@D=T^#)-9E*@uD6xMhHpZO_NO7Qfry9lCEG|5+t|59WH}A zkj9(!akRFHZPS;1uhD&tHD9$CzZ)_XCPE1dpZ3IUjtDxJ|FCEyrv2GBOr zQ8u`hG892fNFYIM#L|rd2n+l<3IlPJP*nh#nu~X`m;*1QNa#rDpS*zkvnWS5tRk|9 zq)DWYtI}Z<=z6kQzsT?$K{oQh8spob$>%q)tWqy{bV3|#%)n*+2`A%;lUSie_>im` zDa)VA{JF#pOa7GY^Qf=t9&3u{uQCj8a39_H5-_&OfvzoRVK8-cO~vclDPnW@Mts7-FD!otpQm5>^w{ zX4p4z!*2P_O;RrNQH> zB5bhx?5fi&ohFvH6Dh=O;K3a|>S0QdO0&8%2-dhvS<}rYKCnmjTU&J$AwffMr z`pHXAv!in!o=0pV&g6`KShRuKQIve+yu!i}vVDX(T|AB-J7I4g0H+CdHcpKNP8|sOVpxhC~eI5Op|A4 z;pdm1`r38txu+l>dx_MZy>aT$b5HL!KJ%N~ixQ6Qxm0zNqMRj5Ufi0WOpWHf_?w2; z^7GFe9?n~K?CHVZ#!sP=<>7}H?Vd4G&P?8UjJo@2*Rg#E{$v_cx{m$+?my2w+BNU! zwWgjj;IdDBdhFG^ADt{JstbO)q&mIUOK2F(cN@L!*l>AN4aMrXJ zPgIg8jE^l2Jy`s?acki5t|mF8F6ob?%5S;8`m$}~qT6;&l z!4huw(apxsr0qvEv6Q=il(hye{^hB+Qpu$-|ZbK^T0bic;(I8v?*k}t28^MW!8nlI`Pe6 z;fc>mgP(d&clXD}UO%*D)q#owC;#OMRUeU#aA$jl#TS9I1|;7o?&&JO)Kz}GH|OR; z?iYLx5(g8JeEqrjP35o3cit!&w$J^U*ku37RDR>~ka5{})^RWoX*OSF3>`Ne+dHIA`(vc|>a!0ITpcOU zoR^+^cnsCICk)$PKSm7O>)VNQhwePezhyt2Gcwy=JnJivKbVdk8B&)Ydw1aK(+dW! z4i?0mmp1>hRpRje*V}*DD&gFrAEuSGM}~r5zGa6B0JfTTEHb2;j`d%@`gHNNtAh)J z&TsFmc*NQF!L(t(@qFmSQPa84;H6&txd?Ymbj+lzzshE4X0n=Iu$@8_o;EI!$l@Kk z?9R%(UhkxAORL08u7{t_A>(P>mJ?r33T&s)Svd~!2?bJt&y(2_6E|ti(MpKtdx*^q zqlF0M<(*gJKUF_y@@&)MOK1;a`pm`WRst8{HmHI?Bpsny;wk}&TKOe(t$JQkq_B#~ zZi!-=q6uS4k|M}a8%^1P!Rz*{R6!}Iau?S0hlk!7!7a*8EU=#I<3_K#-sB0PnWLIrObr_B#ot(>3`_)>$ zo5R-fkOEMKr5H|r>6`OI5*Lpuh+ORw1*tt)CkLcZfxeS*>$cIkI1CaEH7 z0P+lAS}vzE>$Y(Pyi9S_QH5;QKo=6%rp2LFiQaY|w4bV@mu#ajIVn4qPT%}kqBvS` z$bDjU16zV2YZ;Bq;(cyrQnilGy+Bkl z&88lNPJCEIZ1(bd{G3|B&1=(JhzdDZ+DL=G6f~{kOXVFpBj6X!$Q2s;u38&(q|+c5AfrAMiiGA z4(92qr3wB`0zrMq5%+O|J;vpdI=OzSR1L5+21eX3%BL&XdPXrs;tXqD8eOLbb=$P+ z(vr{auMu~Ph%m^clgFzjAQGc#Rm3tGzi60RCQEV&yla%Ja~0M4_1J0yc~N6|t=poK zoye^F0^)2cl$BM1CYm=V(aLc0C05GSn<=g&NsWUZeXUwr=B5%Qs3WQ=93XrFZ>YG) zHfmTarWSl6@Nsak*{(o=ffqqOV31KP*8sr!+Jo=DEh%h?@+2{!s51F$%q?&vL1%H| z8w7^r+;UYoRECL4X6ftYTdBA*LN*ftgDP2?CEB6h10vgf{5Bs_W%FmKnmqw9tvhE! z-M!fNJWa*X**uWRklWLM2q40VApbG}DikUQnHzbz6WlNc48+#prN&sUBB*cSRjCT3 zrwaroQ{z6HZ7YN#<0*cuj8J#sR>O83pD77`uhO6vG36MS;A_~LCrcky@=_D(R95#= zYgBQnRNV!*Uc+QHRjp!mj$fPQaEjaDDw4PiNn2^*o}h(RRW=PhvlLD(Y^t~j0O7<0 zMCfwMWe^yEzO|(cYa!#np9EDf@bZd%yk8<-RG}QslrUbz0(j8Ix02Nq=_@Vr`CHjE z3e0$qi^hM*sEfiJL?1y(B%x7~E{?f3_nyWCFp?*7P{_4tvcGwGji~RWQzlu$A{TyA z6*RRE(H*oLVRjGSL#t1gaODjsF~SI)G)BmUi_0bpW_%@~atUQ}?k<%QG`@|h&64Yh zBsPoB%5pP7gIXjhHQZuF;xJOk^a-4&5rpVPoYY;$1wZ~gJ^(E-0_7X>BWSi(HZ+~&P`=jvP)Je8WCAZ<+O;+8msMwBy^ z&u|$D1DEg>k=Rgshzc5Vtg+_TZOPSrs+XFa6tB&VNs5PkkZV!3R1{_~Hce!#5IA4& zxo+oNMT#*>m}dCw{i}aXKD33f8q7UJD^HhhHIj*033Yj)5~Qnb+FZxvP(g(+Cxf^h ze6pN_Zj-D`8z@fkP?^+O7p$o9cPp3X z_aru!CDzJk8al+YDi1n#-Bdb`@lv!+(KXdBqNkQpTluX#Ungyq{j;^Sqeb%M#L0;( zNy2~dvB|-ft+DE+SfDNTwlFNI5VWyLh~)(LiBgeIDCm}IclxHdjcg>Vjz|`NJvV3W z4Cm^_?+3>U%8Qqt_+9(Wo0~S)O;64P9`?m=zUuypuxy;#^T`b%j1Ek1Z~b@G8MmbUar{d2!0XS<$?gIsxNmuelsx&J!S`!NZDaA|;KQ!n`|jBq3mzPNd8{l} z?_2w$a<*>l^n&LuCABpNYfqAjExc4Ycyt{O_^UThGp!JLgey!^V*nQ&;R5*<2D@GMLS*P>(z^ERl0v z&X;a(v?c#>Nm|NA{<-o$*qYA#>;2vQ`|L@@IqUAj?mLUtbGe3;y}Dy@yW4{&liF{qCLjHrQV#P2Y4adE$4K&b{w>cKV7cim&~Ax38|U z?wRXhXYh(jTr=L4v&6If;msqO zFL~z&vuASE=ieDAL!qi(`Ec`7g#+>x^>A}#&VYRI!3(E;U@23te5U_Q_n^sDr?ks` zN>AbDK&dvZtSBdx_H{iU^1Qf@9CO8<<@NPfmOTXM}4-*5vSTDg}VEj&hEJLX2TSVaX7of zX}YtqVArUmCD;Ejt9&c7V_EBgB?mgDN%dOo$ob}fNpp|ZJrYuT+kSk0--ZP)ie9?O zcsEHn;0>v(m5?}kaOVxVx9U=LfAv_Pv^pkbaCJ}L_;jK(4RQORbQXGySne{O+fbFjy;?+_h5VC za89tD7#V-KmDF}4euU1sk@F)rXTz-5a#p-GQZQ%3n}Y?k^V_Ei27cM>)8|)Q{pbVJ z$kBuC%!n^|p!{?VAolEU%rU|r-(PCc3+7FHte}BUL~>Y8V}N2sv|S-9N>`FA$tQbb z1y%M|L68)N$Mx>gUlyLI#!OB_5nWvcVsTFTp*`zh>uZ|Ray!( z6lmz9jcc=sJRM&}utZwCs<%=Nv_z$xm-BVCC3L2yY@?$@QL~_`o|uhP=0nPeR3&es z(HhN&U#!L#2%wjl+KL@aw_A_>cNNh>D`eqmy5 z7S9-c6!DNYMFz>_uU};uN_#|`(n|BH0wAnPS5J}_0~hR38-;Ok2Kt_(3z~RRcv2Xw zO|ukcGnn}(1Iw9$o2!0>kx(AQgTlnDi-!=Cje?Hjwpq5tI7GcI?NJHKK}M@mIa{Q` zX4D2eyi%Z~(E2=_0h14t-5{8Ux>C*eHO4{8ZM8w-?&2Dv;R#U~_d6=0`dSthjMOC$-(E3^C>{?DmhAUGl$?4 zBma;{uar59As_65T#6D?NWX5HH;=^gbh(42S)J^b>h<}+wx+Qk&q>eW&4%>mx8;e} zZn3(DKfq8Lpsh@tDQnkR@*&5cz}_mNG4z zQ5|sT+uY5H*~_qTt<@}DsJitG~BOGGx>R)O{a3zaA;QZ zE|Q4TCvj2WQsWS<8~;a%trv_2OcGw|luAI!c?&qKgu~E#OSKw~EzB7m2b? z%C!?0u%4YNpGk>iQ2$VAa)+K22qDO1Bg}Mf8fxJ?OSkdcq_-3G5M&KXH%2h)na(o5 z9+NWdD;XqAiwff!3$xxY;9@3-hzj(KpKT@gbcwsjEIO;2i7-|#O)pR~Trg=!$iwqz zMUprrn-0*11AIbBLCuhj&+X@;aw6{;l(k$q>y;8Epx>`^N95Q_ku_Gms$oTLe}xdu zrPxUxCPHy9epT#cX=^Kx=?tCZQo;`@nJ8YzSaCn=RsDSwqqb&iPbzI(D$juNl4S5H zbfTdwc3FuvDoeAGkZB!{vh*~XNeYubHLLR5jxOQp6Uu1^DNQR&}7Rt56vkdOd za7<0@;qZ7JZw24t#mA4tyr+hC++XN=pD`Q}WhzPl?qNlwQ<2j;; zdj3ZGJqg|1L#^3UxrIzVZ2+-R*it*Gj?dr|&zg?de6HFmqC{pBBqZ43u4MNSb+t^0 z&;yOoiQEdVnu9T=ETFY&kg>VHmY5O*iQ#ADG@&qyHa05cR6e!hDLA#HILJi-V4uW; zCPYVok_hTAEzXeC)(F#Hh(?aiF&R9X9HzKtj^-p&mCmf+CXiuO zIUZzHolJt>kLSYg^WzXyhcFJ439}$O45GPpylOBbS%)#S01*t*1Y55>Z$!LOYI=#z zJ;OhrSe>`1{34n_1UE866*fLUYz=y=moAHchbEUL?iwY;1Jg4O*Y-)hfnKuoaQ&{D zZ`l}^+UN==lSlWwFYJAyj!B~}ZFHFuV$hqdfmk@CwaGP6L9>{=d|FRBmH#_64M>9K zbb)^1O?GOQCv2pspxcYmc3~10H}29$&BzqqzG){6-Adw zZf6w0WKOv)_F3EFM#jy8m*pH+Jr53hbIsqaAlv?KPLC1@JR+>fb)CFP;?pZ-35*YmbB#M)VN z_7G0_hx1@({IK!ev+eH}mmmMS?(Z8sKlHp_uUrqL9SS+Fuetr@q6OBXilXj+?JQ2a z#NYbO?{7Tbn=(q4I+=5q&sEO-=hTuFjkheeQ1&oE%xfC4r2iZq%2JXa7;9)s3lyWi z_~GZCo7YikySaJB|9Y)|$QZoxj`M-htYuS|&C|wWdCA0ARbPEHYdb2J(X{;B83p(7jC+!~JlbT;() z*v=#KE&D%=-Hi_X>+-)w9++QnE&nq2^UzMy$kI(Wu8%A(J#{wipHIweuc&OwA9Rzu z_l%mJ|6N%c_0rz2k&*7NWA<3aD&l0IBJ=pjRg z@4kFze2d+Oho}ATgO8-`d?{tcH$z5aTF3qGP7^}!j@Wb3&p*J(EicgoNdU^lY?W_Y-d&=|_H_q`)CZ<3Ym?N`>lpM6v5`zdfJ zuy%NKWW|zm{62T_+WlF-tGuyiFXc@6CjEVKZ_1XL$>IB){5Y{cUN!U+Gk*d2i^iRc z;{gBvEgMQV@sQd2@$xaS{dSE%_d|H@htv9rorU$K7t}$RaFcuxX4f3d;;;XopyyQ? zsu6fDHI4+L~WmvGmMZCw#;TKP2rwIOg6om8RS{nZJ288B;F`Js0()SPDPi-5S9tX zFnzyZ)iG7wTzogVBu2?uQb1Oi=2C}2b4=K(6!=ocwT3krt}|~4X;MOK*^?=k>^h>l z(rRFppdKh&){e7o?HdAjryFy~1Zt2a-)O*sy>f-i!bB0>NoEkg;kcD*qW+ETaotHN zI&Cwl2}UxkaYp=eMRE`z!t9TQbKJ{?K!gh5-?{e$fZEDc$t+Z;3E49*NiNzCD}I-R${hC=DAkbpDktg{bkSj98MQ!V8RZ^QvKcU+Pv$a>Zg4~ql0AD* z3&jbfz@43pvS zkZ_Khb>pkIKS#nGI*jdH_|bfv07WI36>AI$lBR1VEF_Z^pUVjfCpRwErqOZwe4k2l zh_EPY?I1+f`5VPt+%JGjEe^Nh*hNN-0kxmo(0c6a3IF(RYg8bD$E6JMk*BHF)E0&_ z>L>H1br4;6VD4gDWg(NSBW(;F4b!Xw`C7=_Dv46#Hl(%B{-5;4 z+e<07wn0j_6*QHIbz}?4($!THIBb_phEsM)jpuD=HJyc+bki7iD)UsFmnwaC%Z%qyV&u-SrMsz3N8@A z#bgo-sFZt+Y!l~A)Fmk{TG~~vkZzun4M|;GEp1^CQl@JV2G^1h4OA&gMCMJ0)ecZR zX(YB5G~tB+!*e)t-naja1T))8$v%N*z+wPCSp768S*SHsRXJtGlW@*2%Bt+Jw)v$T3$@l z9%fXX8FEhh6tT_c=!3Hn^Q(c!B2O))rTClMhzUuJK0n048c(WKx<1;Dx>-a**4w>))=eqwtAb!Ys`W)@ z@5UBF5rY*I(2%5{638`xjb7z-n7K+R6a0oL{lGuy-Een+`y;}b@jp@AJPlV7M3#TXQA$o)rfy{tT42E?gXqMve&4;dM z3VRBg7VhYXPZ(sFWD+MJ!i{f8$e5nIeK3y+ddbO+8MB-R6YQ!G|K<+#6d=_emC}wR`~^IP7IKoaK;5ZgnHCcSC9z2KR`hLCw%E zB;z7oST3teefh>_TCn3L`3NnmtRg5!kUW^hnPgs?!wbRk28d^CHN{$GOu{)m@zC{3a{%v6U@h#L3(TR%RzCgLZUm<`LiUb5ioopVobSY~Kv-&gf7yy;Ask^hkHn zkuoQ{@5+i3KOk2+x^w8gwe7`g52miY`^>pi%M;74-s*^kY9`ejZTs5iJWb92YDNFk zrZ3|M`NZUNx3bb3rYxBYyee9OEUYH$0Y2H)kHZXqy+6d~xxPf}Ys0$K=fZ&~$Fs@EV&l`z!lS>7|{Z zp81U@2}V_&J~z0y@Pk*&K#5IJv#)58=u6G*;j!q+wEPeSJ5R`SI``GuZ#TLgPk&jv z-L!b;aEFrKm|SzaiAsCZvGvVmje(;srAMqZ_H(VfLRDkR^N+WMv}P^Pr#)~k3CR7w z9xvW8SR#dpk%Gif!r&v~ZxiP83#OaSh`nD8(@Y_L5gN?j}a1 zoXLBg>br?g7u;P!U-yNG+gYU3^FG_Q`GqyRhJ9T9$yDPjU?AT5^|9cQhW1;h1j}2y zmVf7YZ+Pg4;7tF)b!1`FeC~rkRo1=fd!9|{6Gp{z3!X?jHg_!K*!1;KK-0Ep`}_Vj zF*WazVHgF)@A*ZS(^WsNuSWpK0l$ySa&k(?W%H|E1vz}uUDIQ~jFSA8AE)sbT~K>} zLHQ-)xIOvW_ynrj&5Qo;nQSqUV;UFbFfd)}+0O?!Vq9UL+$Mu$-?EVjjx7qBV5&l_ zoqt(9AjiIyKg{YWyt|wa^^H8thm?_r%TGr~SMZ;&Uoq#aLhzEF=7I9R&@Ka8zi&S*Jpn}jF!$5=1CamF%ep}fw{5=g;0QOTc-CvPSG;w54OiUu9MJYJ z0&E}G@~rx4QS)5YN&J|z&zbn+X87*sq{s&1&*yJ8Eov`Y=5{FiUgai`4^pM@>KG96Hc7uFCnz(YArOyV;#9>bU&z#5|51A0`EBPdt$NmpBF- z@>~v|kxx=Bf$z(;q$84{4RWs@VCoxzL03!_f*&_;Dv$A1dbrJxL-~n*oEsnfkg+qK zFEbfSR8lsDljtdIflkEU=5=;aE0q%?d2@JzYgm@aR5SY-Tb62RWTzIYD3?>k){;VC zP5gQj8CE)7{5`}NC*sa!b(nMgVI?~{$Zs#F+tOjRo1K$tE$7c0Zor;OX;_> zvg0MHis@~F2E3P^W^Li}OS`NFD+GHHy2Ae!B=biIn}#s|9Fd2SJDl`|5>awV#Yap% z$T-eW{a!sKn05PssW-E@wUtLe|1(uA&XV!!md8voEt>Uau=H8F5mrXWwebO243n9N z7B{DkhneQKWyfjVrHX&J{zP0Js|O5`i^q{oo6RLmL3Vj=n_$KTMlw&#fOJcLd+009gy5I>R;ohxeEq+lw!>~uV z>_uA{cNXV33({U|4wB?1?jlnWjyd=arAgab=I&rfgJ+EO#p`RcD6P!rhzNCdHj~R> zSE;RC-8PDC7A#T8rqFny zm({TOsECEMRdJuvjPfLfX<|=hz0!z6AI z5QGMSR9WFX%|cb9kO|2Sb{&wCI8yci`pFalPQg5t-C4N5RDh~Wd`M=X?8F!zvjQ5X zZ~^h#WTbJlpT_-ot&S5d-C(CSgUpBwC=)ZuI7uauh8J_Ps!=ie(&rs!0r$r%qz&!_ zE#gB+k( zxN_CHnEW~Yzo6s9I(ShZ7bT&skY&a(3+_-T370MkR5=S(5~#X4Xt!m-r-6`VP3Pwn z5qtvX`;>)Jlm%^!HmmoGyh)k7A9*d~pL@MaWV!hWgU$rtFTFYHjn;%24m;(Q;DnLk zc4P3cfr;tTXPS6cQ!DtD90VIWkZ6WPEv?BYI`qN*GxuO3B279!o`hicF*YH_Y(sV& zRPZV^DVoAqW>t$~BR46~Tw}Rb&CEsGgqnyL_7L!1TPUU=Qsxe1i=!Ac0+DVR+OG$6*T=URgGismol0vb2LEo4h? zLSu+-Q!|D9q5kcxqs`YzV%7)|r!;|j1|ir}fD(n3tY2?u+l0VTdm9q`Y%{-7#8{Z; z_*oz3NP;lVph3$ufciuzhzTA88xtNZC_4C2H5BaN7xv>k90Oq3;lCEJX6qWqzccGw zWIt?*VL`p8MvoysxL;X^E^h31m$Ai&V9h+vK~u62>BqgSaL5FhE7K(U(YOb?)RWOb zlhvYP0L2mVv$7689fV7jHdE$ke^se4g`dh;bd71qo|T>NMH$F`mSUUuB9vW+79cdf z-{JT%?oH7?G{CjdQ`Y)b>i}0K5)z&E{K@cf&)!1MNy(}82bTRT>NM3Jz5}Ix8wT96`i7RBt&bn5+?xdB_R{FbZcX zxdv#_O;f78h;$e(vid4@7K#fS13MNgLAqNj*i3~*&o!bI0&hNiVC-#F>5fPb7;UYD zO~AQ@Jj><}LG&b^pyH^-yFe6}G*MUwslfZF1s}2X!U?ZELoDKJhrL3c+NQ?nw7TFO zMfAiXjDuBGUt8ch%PWp>cPd*LiAv%5^qM1E28+a~qe8u)Ef~rwpEr3lbxCse4%Iev zgoSd{>n+dsjPm)RbnC#F>t3ui0(GOlNg)*LBb zw+eaQ>$}X}?RL+5b#&qJ`8;x>tCri1=iBRkv`eAA?@K+(or9l!d_8pVZu84G3T}N< zQ?Hzo4(xcr6#8~#zvHyJiN5O#wzuE<>_mO+M&VEI?F=z@PUblsqiFRL7oIvX<3;1G zRcvwgyL@~Hy6Ku(Yzd?JLaH#?nlV4wJ!%!qcaLg6h4x&N#xD17Sbw```M&}i*t_1< zH@bUf2!qp0iYi~6K03dIade=wZkP43%KS&Y<;j~i@izs*azeXBh<1S-5(F3#xAd8H z@D|;T)|4aB-$#dLn4INAR4DQ~+0oVg$vf}9xbUwN293 z_F*^kQO{Gi7kQr<7E11CQ=+c4cxPUzcYP^aaJF(dPjEykoMh?Wry#xs$`I%3bK+s+ zupl^D@jcXG9gRlUUrGB@_8o+r*2`>v;n==!epb)MX0kbrX&@Wz{lmv9U@vaJpy_lQMt~fZI{r_p+$HQOR`601uPcQW$h;+J6 zExI6&Lw5doJ~@&y)cY?;bvft;Uj8{(_us~;_P_1vYW3>hDcR`Zj>`$|j z54Of9U!7&2e4rtDWN;wXgmG;#*e3B()o>?-+R?&2vW9 zg8qI4Nb`dutL(+xA68v`{^<>X*&mBJ*FCproN6aV_W#96fG~dCgL`NLac=*Rdb7QM zsOe@qGj#mUxRieAkN-Y&?$*bLj2BLfb=3U)@nE>-M%D2Co!|1ICqPQS{?5`hpI5QD za1nr7-bJwt_Y2t$3pYdxrdhxc3p7F$fmq&3q8xzq>AcJ!(4FLz)|YaQF3xeBb4(XA zYN}(vIp!??g{jXWwRL=LbIqPXm8{yNf>8=OAlE!pY{{UbEvLo{O7kvc54{FrpCi`h#ScOM^IPP@?CF92+ij7i!H?I`k0(vetxn=4}OEGd0KRZWbY~ zPPCrg(e18@$(`JTT^I5uxh}%=_;LcFDJ-nnIOc;KKS)xG6AXeKVtVZc%w&*=0z9B3 zb&G0ROw!EqeOA5S#Ii@FJVTujBkGUn`>s!$>HEyEiQI zT;@zc%G45(iW-dOdyQd*M9cJ?R<=-rKs@L&`we-FQpP&$k!sPbvW;S`k|Qr=pwrH; z*f_}}a6ujChJXVWqV{!mGDb=Tdh^qyruQ%m>tkGTVJ=R=Nk1+~92o(^8~s@$DaEB6 zjZ*?TX(jqz6HP8&(Xjf;`1;j~RVB0|Ou2*Rp4V{&ZV{ce_lc^iZC0sRXi@1 zWL!2*nN7|-!s9JDb?z@5j8CRp-0C#7@ZQ|vM)ZW@2(IM?sioq3rQFVO?0w$v*-x+} zZlXn}+5!EM4%`dNHhM^KFf|5RreT@F?7)KgK^Xz-bq$`wXdrqv8@SvgN@Ey z+X0x6g=M;2#Tbvvp4n#6IrMC}_}&_hl}^fpCmJh(%sd1eny4e&8RvV~R41PDbfW9fpk z%F}9qi#R4{Exh&RB(f9e__z}Y;7-&N>K=R=js+%GGCxW|&5xDhR1iXkwhn zOKR~GSgY4}l@HSyQYG~{p8lz}V z#2lgufH#i7Aukkz>}#NPW4X9r408bmA2O>IYYhQ58!4HBom9Fxe>f7uH1NVI;`T-%F3baJ-{$r6st`e#wShwz zk^~QK))y)%>fhKtj+eq{y$%(mAU%)8s$^iKVee?QC%f4qQ7Y8C^=T3hH$3;tFfehe zfudK^aos9!j}Z2=lI#^E(vEvr7?~(oXVEf;4Ok+i48H5_5v#jG7Gz1QQCLF-t2uy{ zR@yWxw1B|+>zD9A6fUJ`rm(ed`=mS;Qj;*gh4HKKClWX_4qjDZe725uuK-A$6x38L z*MJo%xq8Kc3}(o*Q8h3}n8zNCv7Htn0cQ&Ymk5!8V1>9yDzd^twMb!JuB#jLK6Ko#$F=MKp;Bw#5RAmE@=SXnux}v6$^d1*H|mys?qZvJcG{*OGXeSD3AL z;BNS`)?8+59QBG<)7~_Ny=jkeos@@toGa?CIw<8Tk?z_iMX(%F%XO#k!3b1d&sx%uM#3 zjBm8RU-pE$jgtQ&nLExhM5$ujEWrB71Wqa!xmZ|fSzps98d57RN@~BmSy&hNxAu7U ze6|QJh+TL$|K_tx<|W*kk)Q195Iz(}E5ssiamv5F=iK3}{L%d4V=uj1_HTBRcIX{%^Mi4>7J5Nk4|yz>Ly>`>R~10)9$LrgrX-FD&O%N z+&_J|BE-BH7&^KC#uF!MZ!RiXG3}?k?8mbcBcjtix_WhS#olL&=MPzpE8gfMN7wk; z!~ah0pE;D~3)SpcynON&$mB$ z;N%lai{}qc^My`)C|=I^F&eG!UiVqM_Fei&nUr^=@27Ayba23NT^!q|)GPIUTc!5; zYu-rBTfX8Go4oxQ!zaD->x+fq6Mwn!){o}{&VzTaUUwFafw29>jZcf`ezRzDy5NS6 znKSQcVYD0>E3G|04<$|srR+dc<#`PqQUtMSSu=jH)g5adN>1DxMYhrt$KnS|9@zaj zh~v|?AA7&#{kOd3Fi&^pT}K7?ko$Lq)nD6hJxZtav3C{NZ5{S&Xy~zwh1N9XkUbPW zYbQso-EZV&ROAh_;v4Q8=v@C$VW@;q)kR1V|+Gh?lDB8~I*fi&ht4l0D2I~_Ii zssXfbXc%6*)0sHDwgz6VKD=T3s0S6#{_EPI$W!Uz6^Cx-?Ju_91X<0}7f<}3tmf{E z4yX6%%+a+w*YP1JF?=AasX8%(Rr15g0mG9gv4G=~)h~?{O@cA@s0-xv0{lpf{Ri!X zHMShAu|A+Lb-%c38PThMNtf#q(qjtkCU9h0!!rt+0dAk^d<#GT%F7KrXg6UeV7jZcJM2shJR<(AfK1s3|c_5LPPE0$3XSQLfAla$Ss1T&)rUqtg6x zx`Qca0J(FIsoAPoPppKI6HS7-*KwZJcZU6sDAGk%(EDQ$>jnB$ty`g{o?T_+Wucsv z$`D6+kS;IG*jPlg3Jqv7D(F`Xlp=HrQgsw5h4|(KZK)hoUEb#1YvNQKHPveeAf)(l*lc#>3AMysG^?xVo92i*5IT1v!M)-D&2tPkq9h!+Wi z$e8sW8BBI|^r}Rwe%^3MXhB`Ti3%MWUaP1(H4j+>_uBy2bohvs%KnKNoJgU+Ig4ZHilJky;0xKE>d7G!ML}ThCE)^}H z1ACQqp0`19{>eEh*uR{W?-TZ%;qVnBx-gF*XwZr#!YF1LIQwpn^2b<5wyzmeVHTfU zLr#j5Bgm|P3fxQk^{~u?Xa(EKbwWGDrD7<_pnc!^NEPh9xJe$J*h#nzEu<<63#u6G zqRQf20eEUV3GiI(q=Zzo5=d~tOt)2Vc`yO6nG@Yy0AK0ttbsHXgvaUCq>kSjR;fls zJj0P*HrqyaXsrt2p=I2BLbQI?%D$yqTT5#rHgNN$lAn}uD4iCg(T8)ki5EF`wYSq` zZsmQZ6I5~AJ~`yo6~kqI0@3}7x7#|_%L;FJB;=?)T3RY>eRoeNNz^eZ1T6?Uht3QW z{+d|Ky@}VvP3RsI%jvj)Do0sA+lyEOl3G|yt9elH!S@)SmyR6yn^T!7+q$OBHzGKq0aC<+v)n<*YZ=>SVw z>&sd2Irj+MsK#34S*UG|zCcioIcnlLmSb^A*%B@UZ+Tl5rkW=dzDX%G_{&;ma%*fr#DO#T4qR9Fj8k5gP3SqSLYEJXC zDb$M7zd~j>Ep&kV&L}#;4`vw|YGRMhtn&&MoFpaIuPA!iufVAtU}e1ziW0a(0L+AP zG~;(4h4vvcOni@!f~6^vMskUYJW&z?dd5R#0|D%}LQ9IK1ez{lh!%=9>sut`4~v|_ zVQOHKnPS^S$*i`Cf;pmIJbsS{XDVQ%pkmgQsvIU-flAL53r>h|t$ZP13^>+PQP-sBYaV5-AREN4hhlza?xfXCd zBRLV_E=YA%4P{9aZTl%HFS1lC)iRs?dgv*7&WhQB7JXaND;N}Xa1yZaHvHBIFLAN9 z7Cx?rh}~KAKd}GC_o5`^vUn{H^gNVH{||fbA09<{?+womBV8>|n;nKY1PD8`cb3U! zNk~j-#9ur2PL|naNgzfVQA@I{xFGUNYN@sD&g4#*Y?g$?NF&EWvaYxy1)6SlgYgAG793x4JmyDbU~FmJNyRxHkauTSwu}oj5tGtUqqNIw2WL3T>+{9WEc}@ z4`$eTB`E!CH9;^X8N-TF&)y%UE7)bs&p{)3Qtahci*xqahn9^LsadEl zzRG887R|h>ig>YXX;cDbxW5aZ)ZRz&S-E;?P;<7&>LGu%Vf1w^xHBe1?K-_szA>O~XT6<@5a}fSTL^tXk^$uF;XTsm{K@7TR?(b`VV_V#9k11Bua{D7|^XkQ?^{r2a9<8+Q z3HX>K{(*beu)bYcLs#)W*)&t`RI2boC1w-EP8(jJuadD^wMb4vHUJXBxJ(g6`(6b$ z*7{E0^vm2NhOTj*AOps4L!Va!jFR%QQ>7P4D$Q|}TiWrO8JeS z+!gxOhl_$AjpTj4=KL1E`M|%m{(74Gr00`cw=^FZ59kH{aT3dmIQAX!?GKg(kJgiC z@%zq;gULLj|8)N6$Bx6o8_9$C$mI-&S%!IA%9(;4ZA4c|d$y9J!OX&NRrA(Z$bOH#ON>ct;=VOQo?}sc45t2h`4K{7 zLCSvrOQ`$EC6>vDH_B-?N{Ekr2>50$p=I9dUHwv8aKDrtC{0U~CYekN*^nJ5UC;pq zy&z=&rN%is1z42LTQ0Kl)`cMS&P3}i-Bss-^ihGg$Ic&`u^WOxDrS?D_W~4t%_pTm z6M5f_!|w6SrMv$Ef_3wYAY#A#@cL;V^Tm*<$&tptl&pC6jQiGVO81nUm>8PI04;eOn35n@I#^X6n z>~cB^#wa;INQT4a*`!}?BvvMBq-iLzZZ$`)4ElQd-2b9AaY?5zB`LiXn2PxxEUCyb z%_R1q=_#&5rh0gFs$RnJ;i4)`VUWn;;4U{oROb_{X7(FqAZ|l&-6n)<`_y_cI%$zg z%ZjqeVEkzf(+9Ut<+|B|?$1IRE+{i?gXwy+43Fj0_Sf!+}h`yaG^r|N$!PG-2 z8%MxM{Tg9#8(8@U#H;o>!H8*?e5sb1B}Hg~#OkeTLwk`sraU1{vGw9GgUD<|mo62p zd>gjZ6$x0e4qD7=Cw7Tw2LaoSEaJL7P)Sws0AF*zLeIQx;qIdc%@$XJXFGs{rbfs} z73Q|&h6|;e>3Oh}$UF<-x8hc-2@F6!2p^SnZIuDf31_d;C}sK(t4Fvgp=x3sR4p-% zS?$*+L;|NmzU-!MA7nm11_Q$o|@<)((1a!cluHOVm!A8kkwUlv`A~ed-cC zFPX6~$Hr9iS*>c0L?C-cQ5kt0E26g*YYXGp2&t0RtkeK>Q1Bnby|{@xn|Rp;f))#Y zbr&j>I0sEQ8zX>ie2LNS29kOWfaMB2yrp?6vXNy(VPUW#m!y>mE66+#Ol2qd>+Yq( z6(j?waDd@`yNo(&Z2~qULz-6%*32cG$1Kg05ouy2D>I!nbe0>gHNoSQ67UJK3B`o4 zJz!7(b&%eDYT+RI)VS9#Gip9(U*M^&+sHGED?Fj4%|cty8Wba> zPyasqVbQ%$K=5&xrR&7Y7Ruqux&}3dT+$9VYj}V%NwN(3(#is!UaDNtfm2htm|muy zu*ke<;en*l1#kl^ZQW#WAqB#=Mfn#${a;zdj!ebiI)z^UWqb$gB-&=7gbi3TgTNa| zSLm!t-CP6qMbvIP%q{minCiN{jN_rKYAx$phjd8yiE2M9dHDG#^cwaXC0NX5I;5OT zP(V66cuPKKh?Fy?6IBE+OG0t+<_4*)m%}c`x`jm*1ZYZ9(st<2hE@Fyx5`avq(42xSEWwwPuK63q~S zO*dl7vYq5I(aA@y-(QW9s!^2j43NSXNk&;Kz}JXkrXW&Xr{>8r6+SK+tYTJN^j=Ov zAWH^d8RltHgKicG@ofTAD8*~ZvxWZ>?nFGYA|QUs0eg7*AB0bbi`9%o6_78<6s5>f z6TuYe01*t_d`5;-q=Cbg7F8)&XZU#q*z*yt#67jyI z4yJ1gS!;woJk1;6tR)JkS+sdFWSOm!*Dg@4+@JyQ722wsjUGcXo={6r9iFmB1)^`q z5#aYx^Y3}V9E}GErF4k3zBDXuJFy~0H#&Gd!|Z2r%o&^nbrh|*U4-~|;Vhsn#5oR0 zWOK_#tEwJmswip>5XMthR6<~dHmY(D zX#_%?FbvDWzOd2`;VsZ*RJA5izBbH4k{kc`Rao|6nEx?IrX{*e6f6{Vrdf|nvFP)( zONl}m%99{rnC4+~ABH(Y5di`M1j>jqRP&xGF4I(y{mpzta+s$9?+hqnPy`c$qQaHD z6o^q)Go>qO9RQ+IG>EFFsU8_}0)$QkukTeej~A}g8otLZ4)W+ZqpnzAttad=qPSGx zE^lJb>Y9oo54pFi{>_@Dwbp%H>(ipc#*m?2?#(9yF+w-IE+){D5OM_aYtbpN_>20W zUI{orUEdLMw%r_^;;0{eD+euz71s`dK9Us^YddYa>pMhsK!}zncpo!;x?xrFbxk)N18Tp3GY;|sw*ve9z=^yjbo_?D|0r}2xGGU>p+ ziGJUyG0z^yy}h5lqyb&cisPHT(~S#yvH*?uYQNHMc=~hvaAQ>aO}v(zNWf20S}QoB$ep>Tl&tu^v3raW*D^}R#r~? zl~MM>^U0BT+}qc?n|tV`SNmT5YkB3!+~E59_xD52pU2lWu4}e`Qqga_HrgB*u^Vfi zTl2-lwdL;f#jyhMxzl43E~x+Lqp>pO6?8@)Nj%g1@Wer>e@tDF_vY4Zi_~?u-*Wi6 zU!mFee|&Sv^S?QN%PkGJE9Ipp$>`p<3vxG?FH;=LTXysN)N*C~&?~?5HpJTs&?uXn z-F}~Z?v|^*c;t^pko>+9x~=i$-`)9c(;93<1|D2%lq(ma+dg?cKeXnp$Y|f1R}*WV zd;7;nCJZC-LFmlz$m#xqIiGGkSneB%=>_(;_l_smtY0(sYSXDUDIWy!bNYhj$Z=!h znFVKl{TsuWAWHcW`^!srw|pF0aNvP+UNvh-Dcsy#f6>r$uCI5T&KmJTek7&*)_1lI z7zhMy{gJ*V@9t+m{ez|Pl_qs-cSO3Qq^6tU(Tk_+InpZZjpEh8O4ZLySg$Z&a$ig#r*F!4$ z*<|SFpDtEE0?YP=O*?L=J5_ceOWqy$eEHJd_(Im|SBB0!(A@x;k6`+dHyTC`{R>FY z(YN6(vHJ(4`7{XY2j07QqtTq4$m(rg_1VssnmyxLe?A(R$bPADFOW!1#DUl5;KZTj zH#7mM#tqTo)1NE^d-%I)JNon6hVe747o_L%UTRJrY`wTPe&;LALznATogKm^o~6>V zclueH7QOkfQ_C8{umzcC*Y|;h9X2Nn+sX7}^AhG9WDOvuku_DM`rxoe1HsG|+0M+y z^Iw5DGpt#MRkX2BG=t(VTHE9>N3OM`!mslxkO@f271s7X!Cnm1+!ef-@3%Sw@We8- z5=1E7HLgeHkd`R4- zY~%K}iPlLrRHPK;@WtMFOLHvZ{c=X9P$#payeO}obl4JU7gaAk56cj@R$NqDh?2Q9 zkS(;T)oVhf?W$MpBSjCPUQ9VgXWdk-wguU_s)6l_Rwyc7TCGQuRf(!+CjwD;5_Up@v}|p zS?u!%ybUY&#S|SuXk~2Xeh(0$VN^xRQjNl_st!fHUJ-8?o?`np2;Y({^*T)u-v;WK ziGan50`r$8Ln-F+Th%%SFb^D{(-n{w2qbi(J&dRl*Ax)H>=F>n%syEBFFYWKL8d;@ zs9`ys?ncWK6zK`p%}8JYJxnjO5HS9CDzWIMn0OgbZwv$MK+$Yh^ji*Mxg=)9$|Tzr z&XCrjh`Fr>lH>+S+qCrC{%%Wms79Wi6L+twlwT?08^rcvwmg1P8Ii>einK%7fD6@K z*l}4yH~$n5+E=5**5|2sK-1_WiIv#as%MdQRekKrkhsX`)#QbUZ&LlMlRhCcs7IDM ze-8MbEM&}&Huc@F#f6*}010bd99m=P^_q0qDahgdUEP^_9_C_#WrWMEVOhW4#g%uyIP}P72BN#L# za#lxHNLkyefVg$UtYbHgtw}Beq%IZ2X%O6dShc6*{%?UYMo}TFuUkxjiXka7Bf2D= zF{osygPYoi4B=&2$5o>2i}OBnRvT$BLmW3DQzW@G6*OFQhoaXqln&6M=WC}>n8y%1 zp@Z(`HCL-3QrejvZA6==>OH6zrYFl(N1)DtnZSl1QmjseH8XH38rxGKPE-57_m&!F zH3mVjfwd1&F=@|bfZf@v=yIRxlB(ijJVU99yP&DMB=jgKi%8fELMYHlQsEX5G96|g z^MOi%5hFusMIiUiFdUPCQHNfGIFgwE=L^R7Af@L$Hb=&LeEZtS>@FUep># ziVwumD-2h>-NAw64Vg-P5@Vf08KE$Pm9wD|s>Nk7#A4|+NqZiqK4BM-p>80q~uWteZp3;aE;yza_)cN(|Y4uzncWV5S?xGoNOUE1Pg>>E!)! zzx1Jj>kVSONt%E`mey)ZqM)MMVh|u*hJCU`HmMV@U+7>xHJI}1bYuZ$BYPtjhxl)8 zC4vZ8@Djx#w2??cG8zm?RaVJMybbl{D2dmoQD9k(KjiBp9g>o-yHe;0BPOaR4AGD! za1VeVd4qVfpBd}z%rCrHhb)+knlrkX~r#rEtPVFDw~X>xzTPR zu7=yu^^U9>slJ3bMxvr`+=xk%pSBICe}b`dYQ|8c%MiNIe0waVl#zs$hKL?xn53l8 zToP3#udni+&$;VYKY4fA@s?IK>yu?=2RcWx%U&Eh6BA^}B!it#NYExx(B2 z_ z9bOkN*fX&|dE}yW1uz5eRCcQXrw^9apuIXA7Y@uo$qRt${KxT}sE)jb8P?tb&%r@i^p zv4!qrbhA-O&S*=AzbO5*ja^K3A0PSRS4SUtz3JlWGr#>oxdI6W2K~)LBOgYOlCkUW zJ(H7@3N^p{#eL&v-k%tDzVVSNO{{e`>ySihYkhO%IY&U>#sh97Yv)#@IXdx7(fUU| zH`M0nJ4eRXU3+)?>)U?z?ps&g)J({!+kf=KQSQ|bP9E)@AkeGlyXD=UPlk>!|Frmf zyI;6RnmJ19*DLXdkNQt_zjI}`mPlcFdem2&ZutmSzymcz%N`J!7q^Xi1OZ!wAV2ahI4K3sd0Tr?6}Ve(2+ zb8I4#b)ez6aUrxI?~lz5<7(g5BjwkQ{dUvYB4s96)Ms7-s6R9oMc4j#@sM<*GJD?} zez213v){iu>q_8xzxxRJ(w_dOFTp5_YhL(_{PYjgF6A9v(7R&aN)K?o<1Y~|U1}QQ z^2(#`a?7)9>f~Rv>sP-V+JE;O7vgE|n1A(;oXn~N310wJ;D#5U<}Zboy5A&FJzPY!+b{pVBfuRA9n zS%1gGEo;j?7mqD&J`LwK_rqyN^)K$Z?Pz2y^@B5!k@$_x>cpw6-G@hxwC=7STKCh{ zKqj*qqEbJ*#c0L{*^APyyh9-7vlpEJaKHG>foh!>A&is@*JuhmI|}s3k|qMDr0tZ{ ziQ2=agq%;nUA(aug!&E#5SatnWUCaW(>cAZ5IVO3B22d#C-f^4>0j8b8l^&5Dg>F{ z@-UIAI5kXemFLAIMWXC|>IS(4q%@Q-7g>4QIYMLu_@>F~WNfNQA<7apsSMV^cFVUp zIx`rz$Pu&0?8As3*12i4nhijh^~K+!Ze?&rjZ*EX22_WJiRGoq)qt#c5)c-&aSINM z5)S%=EAuEBuH_D@Qtho^Equ?sRfMe;T+pgSG7)d~76ZzIJ=~YN`z!RjHz4K zve(O*DKs@MZ3UD}tErf@=s5VRX@$o+pP{c&M|CBH8JD3#s8m4Czk?>lk?2 zOVI_KCQzEEhS+qj3{*pV0Hg-mKwg$%rS&@|Es}3t%FV`%EuOhmGzuzPjSfTD2GpL5 zHQ)?PMfJ}79j_Z&yJ52rs58HNLVTJVwZ!=*vJLYVKd>;i-6B?l>1+s|{;BwN4>_*p zZRSOUZNd>vV5dwA?Y9*U_xH6n+*;_LfB1wP;KEGW3_2h{jUzxJpWwn3G9labnUm`I z1g5rO+25O~IxK=(0`nXo-Ts)Sc5e5JDQm6@GI?#8h|ThY`uB`nWV9IJk@xbq10}dX z0MnkQ&Vode?P5cph%-7x51J|2R~mfyI4V--@NPO!Y@PRpy9hios&H8Mrk=#Q<}WbhMv+j z{5UeucJ{D789%}}&3Y9dJ?NvuSz>A!saEE}1gVfkYYg*N^D1)&Ha6mfW~h4BNb!DP z_nT&}6(m~AmC>NaSeNROv_Xde<$cEMI-&vcBCf>-W0SxcVaPh23tAsw)xf4sQ2`2t z+m!}0mToP6hzGPhxX!Ip`}%TCd8}${H8LG1H8F|mlZGI8Aie|rP?tQNN)CWVglMmk zI}@8jIkXC%{xCCBDLIs-i+UVz25pk40OP~RSUNN7@^G<5C2BY`#S4CuJ#F}{ zG2Fc$!M5jt$v#A=_K1V5ldH%A(17Y(93aKF+3;-L@=Q6+Js=O+(;E90^ZqbS6$ zT9f0yqK*iUTNY|g6pUtlC(BMsV8sGVTl@$+jmvxFh+S3#AVv#Rh0$a`0TnW&P=nQE z92UrCRn(?viB>}=+V{RgMS}|X=gfxyI($K8r-_?n6X_AvIYy6`Yu0Bn zLZfpU_$)RUVuF+w1tW2k8&`Fw(xL;5D^9|bMeK>G)`zf%&?k;ucg;Lp+vby229#Vf z9~uw-hq+G}wh0Af|Xfn#BdL^Z=bF*`B) zdJ$h>#E2$DB1|nr;}9hCSBT*$4Gymg={gmYTH9+lXi*2JP*1zNDJEwyK9KB)f z+z>h=VM%wHI}BVMwTg6D6b~xJf(%f8ZyyX1D6Z9tYjXWQ!>{mwy_YlELZD!)sS9ts zgMW*QJx`Bf#dZvL1;%je;R-3AWE*PJRXE$>OWo<1CaJ+jXi*CPq6i`LZa z^NR%yak&H~Bz1p0;!f5&f_c7i?r~SBe&?U{(;UA=vb3)ensLk*NjUCJS|R~4TB0R~ zvT>PnTa{lL*P~6!-1bI!&8U(z79#ylGAHg$7`?uC>Y{Po(JjpP)gM$Bh_gvR%*G4- z)rN1h?G^Nc8-FMNZ1L=3=CQG|wPn7=A02&VEFbwclCeWM&$C_X7xDeS_``@`Ypx&E z2OoXkKJoa;=d&(e^Wd!7M&q%G=-S1rnjIGpZaL!~8J(Xm&YnMZO-pm4X7Gkj-gItJ zhf^2YcfAt%^T6Q?**|O^`aI%mOsqd$)psT_+O+0C-p1rpZJ$0c9SB|yeQfO8J^fti zV_Q?rsS9tveWvrg>Tgb6+_huwu}Gg$_fARiI{)2e=N6T&=q~oYHyS|Y@u3gLFI+tO z;)Ff6tG;~8gTgKJ~4=AI3I}bc|kUXo5_Gg#R9X-DHKy-M` z_~|GF@%HzhY5J^f$2BdxTHe(zkb&ky7l(g014zQhRR61Q9oY8CeWB(f7l)r&pWA(` zgf#aZKQbyMUVCHdk3PTSape(pB7fDRUCMlR5` z7Xkigjda5^_sX9=Zu`6ePG~}BAU9Rw;(`?)jLe@e+)y-H_-}JM{~~_z`faytQ(iHS zzI1c{sZGko?CW3I`Do&RI1y_)_4Y^isiQw=y?un^rrq|dqjfh$ci(dJ8%L9)e*Qqs z$87eCN$<1QzG1(d)E!S1?#$Vgx#EwXDUU3VHRGm)`!OP|8>@a z11~nEE&6(sw()b(?;uB+$z6GAed3a90pL8v zi(i8H*pNnSLU;S6O#;8L)dhp`~aO&p^L{L>$I*#t$c;m&y^FKb+yyiS)j9U4V6&C<8 z4}r0}*0+pF>F8Kc9;OW(0T0GsooRIi!D+XBST3ErExP~5zdy8p{=2F1q8*f}9rE*Ul+vgt0P(N+*mhFAlrCyOEniCjukKPlL^Y`>% zVegli`95JUYj3N!eN0-HpdS1h0uO{ggnJb2$|Ey38&mvjo8(O=jr}ueP%TY}$jEE07lUI+SdYuVY`Y$zfmTS|w#eAh(+zv8Xw;&+~weB)3Y> zj*DC5=pl4gM%9XKCni;o&?GA*EmEDdS11Xf#Y*foHHbH;%pO#N8<<4ysH2>c7OU`( zEJSXwr-di=ikf77Qs|R`&7G1O%vSI?L`Z3K&x8bp&~xJ)idF|$z#;>Wh# z{hq#2%mFSx;Wd^FmOM-ri;DzE#M>pmC${9I=1F(di`kai0oG|Mx=Y>w=BP!wtLvpe z$wGj<*CrdI(ZSd1mSQ1bCiFWFqAb0Qcifp zxP4wxwrm?*DstXw^xjqS*0K}RlelyXxM=dlWqrn?&b|{hQ<|54=OJTLg#|xFN~&^& zZmvtOG^Rqp)9d6R#diB0Nc|yVGYuxxl%;G%tDn@l;oQygmeDTha;-ELugORjm+9NN zYv;c_kC!VT9k4}MEGf&23~LI*2WwLGhV1j6QI@l2ab9aFq|a2vZ)Ng2PK9LKWw}!t zWuEerm5PfU7EK#uvs$i$1y-^iN`>ha?|e5~oT{+0#q8ChfRdI90kPs>ThzLEm8Et{ zY&zl}Q!N>Tswte(?Qv2kPf>JVYi5{fm?eoR!zSZY{fW|&yhYstWCi6yfqL3$&PY~% zc!LfDA%00bLV>@K0^4N>HapQZVgDX>SAWewHsx#fWpHGtz^Ck zVZd9z$(Txa`iCM&Z+iX*`N9Gk{%kJ0IPNvhJ6sRHRDy{Zj#UY_KaLTl%t zCRWiQR%51}h{`pEs*D`$WSCH!WwVPGwzu14=nY2^2c4o`N#qX5XLU6jhA$4_S`wj{ zM7t#IS)-(aav4&mC{wSU`YxanWgwWLHpo1*(!~HDlV;d}QVY3TppoGbnYUa?R4ROs zJ&qy880BwO(v828SY{Iw1!Y@wn?Oq)k~}Q;G`CWKXD`Qa!473M+3YoE(BV(nR=8le z3ymP?9?CZ=tG|S9?r6ztf#ZKG4~U#g#|ahQ+mQEQ`Ugk4{Miei04fehVPJWHqLf~T zl-$6Xc3QfU`Mx_l^$a2)Nj`$ZKu)$ zDF-yC07_kbpiZ|a%R*QXw;Nt!z|(@!38d!(=cV;@<+c73q!v7KYR~+c`ylzD3=<+W zOQJ$4duOQ*NqH}?r>9P@#D-WOko9VeXDUz;Um=d`y85)n6%{K6wN+oFE{uTEky0gF zNXnr5$J_V8@aCFi=oi!p(@JDdgmW@V^q`RuIIa2Q^$zukmFUw)^c!y!YT4Br&^pFWxD zS$WkY0{p&kA3a@Mga7IC!c3T^I>7aoe!)jrZVH@jSm`YIlL5mD6szg+Q6c0dU7;l; zebS{{{AZJ(JWK5>0iYM001E_cK~z+^0_rT7(kn!!(shBaa5+0k-!W{0EjnU8yEfef zbm`8QO8m!LHQWVWFa@gh|2Re-{w@XGN>Q$qlJ2Dj=p`y#ldhD#8&GqUbu-|Y7z&UJ z&~Uh;s(Ijh2hS^K?;N41?d;ql8Qg=QJJMD&Br%>CUs#z3?8VJg~Nl;1j%5(4nEW>5)+Yr3YAmNV;)Z=GSV!J-cnx z>rJmJqagRw)=TRRR?nq{cvklAZiAIbg~wYVTHtE9w^WY5T#H|G+6gkMs$1cTYp*#s z%?$ezHIF?3K}BJfff~T3pFRXTMLPGoB*S!qEulx&z?SjVW8rkMFM^XbXo1rNZ|VA{ z4^q%Wm*!!*|NhI5C)%&8g@ga!uO;bu@}IA>>pAYyj^OM~U(p_fy7YXxM>K(CkiJLy zo-^CvHZHn}jePYGb)x--UqXAJdRlsetA@QAN~ia5ifV!#9E#fs>}b%sE1^G5NM9)y zx^(DV>`ln0rcu;c7DP;F;3kx=2K4=Yje^4OzXQ}Da53)f!zj}(K^I{GyQg}=Xs|ND3R{nxL2PH$!Z&#U}% zn0o)n>+5Ri`syWr3|r7Y^7^_<{+A{6k6aMe)z^*BS1$3zk^g=mztTlLoA3Vb+w%w|Gy6TSH|k=9zWl}^7+cW|2d2NAHU?^ zm;9f3`S-o?AD_R05&xeJ^HS&j&xZLQbL{W`e&w0+b@KW*v0;7#PoJ-}=btm=f8Sly zKj%sQ4`1>t1;6e|@jr|FQdj+RM*JI?m;Yyo>;H!=^8b4~^DFzo*X>1LDfo38$2TBw zeC6KXjEHFslC2tnm|hc~C-ktQJ%uDNLwiS@ie2hfne8fsikq@DlT`;wI3Y% zMWxPMw*_yhFq21;Y&I(`65O3rvoN9Eql%<1Nolo86|iU~Rbc~5pXE3RPKOYVHG`x= zU5LvGLp3UmN<>Sv?CkyIg)7uBGe<)%AT1VGc0oE6K<-9GMs2D?eB8*=nD8~I6U9R@= z?&N!eOW5t0nwKe|23R?whd9noSWEChOHkT3=l*|(G~vHqwxME}n2y>MrMODU zD&p?UodUO|V#FV4Xe|->Ja)s()SA)~)U2@l@zi_u%9fd< z(z0mXw1a#x_rgx4v2&3m`=6DrbGkoLI&r=#E{f~#Cl2jbvR>jNCrM1c^7LykX_o>H zReG5t?%GKOSli4G;v!%-aANgN*29ZP7L_Rl8q!&CV{FBZxCbKs*r3=Ucx5%g*^jX7 zBAtq{PeIUOkv}9i#N?N5C2B)w8&P*XIQ31vhU9w8J(;4`!@1%(*R(}>BqdQE+S67P zE@tg)kTmp)61!c^V!0BIYEV*J6w)9tP+bjZ=~R1ye_21F(3($}Y2*TR9yx1o-oW7^ zen|qe8T(|fR+OoVG6@0|BjPr*DXj9jjO-NStP8!MWUM7(bv?`ovA1F?-p*_6yqO`x zd&PDopLZ1_A6?4_u^K5YB_kQAQJ3yUl$VCPAS4b>ox*A&h9 zq)LNtWGmKi?PM2|E1txrI2Ka{vOphHPGD`Vs*1pTaFC-Hlc<2qdgl0_-?3(z=V&Sb zJ%eJc$trgt3;5?J=Q0hn0#j`}tJc!8Yl;UWY~HYi$#8lkZ5p$eX)t$i+YwfJBsmlC zkcC04Nv$D4%HrtGnQdYgvzO2U?@7U|6%`A|bjVkVnHE(HaQ0TNp#lL?WJ`|eQFaNZ z%oSiEa6**`nNzm6A=xJ40NFt>N+Ekl!7l+3IYq7bq)cp;JPfWyx7 ziL^}))jk>M3`r>5$(7z)6VyG-QsR#WrxrbGsiUPz-~F6cN|%a5#Wv4MeZV!VODPg( zDYcq5B*$d$6r8WS_12yiXaOVpLQh*5F*J?}gV{wae3TL{kpyOyAtXQzY#BD7wYn>5BW{ToUO{LRA1&=ZC@(+@Htg< zzl|#;hro0yvjwKS-rOMoyYqI1FHg}f)aFzW?=q6?*;Ph|?t*k!jJ92>rMF9EQGgeP zCrjQw(fTCP2Gsk-OhyiA9>!;C}@@!IT@LaemkL-^=nO_cFrz34(Wk7Krhr;C3;-i53$guH;E8ey8XUCJcEI~r z1F|69CVBc*bLUm~V=|w?rR+cyh7}w{)|4!>j^D6M2H+ZidJ`AI zFY;YtmofEG$(Tp*EJ@AeyNS>~oFN%1?OzI6wS$Tp#d-jOa;23KyIzUhLM2d^-u=HQ zcbiz0dzl~uFrr5kDIQ{L1yRjq<_2wXNHeU*WRN-tVz3SJBJcqu#@v;N&EVP^+1jug=CIwOG87pM*qL`4K(eUVqORUdt4oN|ebyAzrYBgymt&?Y>{f$N;Y zQUpL0v}jkcr052tQ@}16CA8$AZJ4o6rtBcbP(3ePwOd@qxTke(dIWoGJP^#QD?wHi z%W%N^l&2fV19^8tZt@v8>y*qUk!?s?BMyoW_G?WmQKV0(`yO9=e_zQi#nJR9(yhef zl(43#*xhBP9Zxxl$BxMV+T+c*Ij zH|tZlQSsrv<5Ms9p1-H^5rfX=<_`&@2%W$c+Tyhfm0*w9A!bbqw*_)(LA6bG5W@jk z-2$T0q^ufr0MT!E#X+$M2Ye;^U05u4b}1tqL|KG%j~Mhg>f>Tje_|ExDXUr%Z5SY9 z*>N=c%8wV`bjJ&I&t!G8^HF)t8^KXUxdWHuq&S=1H0MXkJ;AYstx)HB^6iTbOa8rk*Guo?!Q{nVnK{|gP-;|t_WY}*zgRZ5 zu=r?vRIT!j28!nd%kLOdR+W1;*`YFM;R^hgXB3D{OTQQxEe$RTmTfA?Ih8pk*IZLs zkn@PLNSUK7w7;7C=-9pOt-E%W&-lEh_XfvsX!zcXE9G~S7jdw8@0o(%bWa@Fcjlw9 zSABrLPbLf2T{!HSgAV9-|8N}HbLpe8&FlUYcnUuyZc@R19*%&ogMdUV|d+`T@r*?-XyIvOvD z*ek^KXmr`n5A^=1yuw~OsyhGbdtuZbxGO$a@waxb8r4^ojs}f0x#u&pG?lz^vpDyc zzX;s3xi~X-v;vo9-leQ}kKk471Dnt>yh;4=!nY6^2`EPgx=Z8Ax{Bo+#dGe!x{AD; zY@-7EnS*_dU&SUvjLLcc6Uwm-n*j{MQG-I3kJUA z=o!r1;oN`sAL^SRgm?dyqbIY@Lb-FR{SD(kO>^|jyzmUVgw6j;?##BeP1n4hE|<99 z36z}a3!WF80J-l=L+-r~q#kY=eowx>ZEogz%g1*DLH#{zECkN@D; z;)>@!c0*pNb8g4}AAdrgyOfS<>`RjRn&$(bK~5@QjsF`-y&V!*9hd`LJ_lBgochBJ zkETiLhX(KcQTc_$J%F8GF!tj^1#8l5_NRddv-vcfcQgcFUqR(pf1C}F`!~zd32Re8 ziT~r&{>=3M6{(9|@BjEvK3Ol14(|erdWi8I^T+R$kBVi$LSG|0*$)GDnT=uL%cN71 zAf}T}u|2HhM9EEZ{;FzGh+X=zQ53|Qy@~|lUF`fCP zsC#8vf|$O&K8uGWkt>zR!+zjKXcv9$`R*e{l=L#Tf_;PxDn=%mycioE-{zW=5}n%y zQUJY$o2#nud=*M^B!O#3R!vXh?YJ(othEPdf5cARxr&QbE7iEi@V4yVwJk#J>&at9MJ38y zj?2Thj<7<`ll&%BHO+BKeL&*Y@j~IV8a*%jHLUB;%xslzd|m09-YQ{d8k#Ow8%Y3{{RX~FP%$oLLp+s?B7#M8^ zXDkE?dNT`&hw_9lX!|amWr+1V9H?r+m7w?C`%J8~gYQ71AT7=Us&07+>!Yo;ZM?Ki zucDqvW-`(uptO{lHf|8_Qyh0!EG|;Z?N72`$!Hc8pK|_MJ@=f7?vyw9hdrtus0sPW zeZ082s7g1k6kdsK1HKXrPU9rxtsC0qHk-nU2*b06IJHp>y1K16DU@|GJ(XXHVtfI@VF1tHgkzZ z$jT(FIpAswWYBzK5vkoc#6(OAsW<0YC@Ffa?hRriZjC2@@oSU4$nR*I?qqK5s@Mdo zkAr77v*QnlT$$5$JFX^?Vta)R59q0i`o2J|R&;-}WGS{sPWrppe%={Ossuf!Y;QtA?UXDa*Gj#VVx6K(Yp=CPR0YN?3#>?V zH7i1_?qo@%@*&AAKb6VnH>f5Nj6qgnQd1OldtB{dt*E9x>66UXVnvr4kk~~yqC=*E zKI)cj88OJX)uMuFt3yoR+sn+$qo6vdhGHc)JPG7=j#x!e0CZ*=FliHb2H=w-Zq+h| zTOpz>OG9-nD$8dvb1+yGDKF!Qiz+pBDM<3+pdQ9Z*K}6k_%_YWSji@-n1j<@gQ{83 zqvl9EB4WTP>XS2QOzjSScTuTBrYSG!RKk;Gkb>dW{K6bC`CR6V*Df z=Fn~>Cg^t29JxFKp*;VaukR0Q;!gLSq^T$E?RgFvhB%}MnQw+T34uVQ7OhogGQ=Su z2vSRbY&9{pky@!}Tl?d*naO|y1S3c-^>iylY7uRz)VkK~t_0Dg*4Bcy>$cstAa!ZC zt0f?!MZGWGd!Oh2b>Vr2C^M5}$jrR&=l%S^W_ovK#-N@S>KIm*W9av}+SEMwtWuiQ zIN$^o%>q4}OOQB~5ej)OlXpt)UKw$WKcGp}2$Ik%*6T&ljo?t__A6L(NKwa0%n_tv z0;B!+xdPb?DRP1clvQlC%ppb;q436lz%7}z*n5K3qGcqcj0QA9;4W*b7BgBkUIWs! ziVBXfT^%)e*!Q1c=;p7{vsxM0)RknGG!PDyhJ@8}g*wBOq;Akb*s7E;B$hS$ z1ATx^rnVrCkD&U|iRQ(yfc!k%z zPIeI1j_U9u{}rOVeVAd4;8zNMxqx$#ee`yr2rOu12gkS=c{o9xYsZog!WaOJ{J>k8|?322tq6dA^=ca}Ba z?pGJQKv+~^THNk%2s%$ce1myD{_6C`Kg+^YtiR-H%}@4 z%fr)Q`*+{QY(DF|%uli2$P;_da3i(d{T;M*uQlymyXmIGQ8^r`dwHt<-i24%`Bh(k zk$Ax6o*JyOGZz|Od1=Dz+E!Y(&sW?#ak_l`^ycE=IJx*A#NA~lo=^0hDBv61_LHUb zy?uET>xJOp=wwFaEuh7(gGAkR6)+zmzyzqd?Dbcf34h_I>(3~|sLFbCuXJDCyJNd| zPyN^*{%Lw!_|{-7k1z0cL^dG1>w5o71&P&1_>K*o!`2IPucE`1YmWXUQWf61|LU8* z;__eT_?a}%hM3**fp>!W=**?VHCdeDsbvMpOMagh+f0PziInG-+%uM+2+;v#*_phJ z+{5UVi!(>j=G`j)t8n+>oA+6E>(4dDD%|g&l=v&a{+Fu?|E5d`{;f4Z&_vO;-OA0yPu08JwV`RrTlwzfNP9tby>P1iK*dqVbQ8Yh!1e5A<*WCP zyz@mdAKUo)65T9!#}APITYu#=C0+Yg*E-7oRQ)nh{Ot;Wsl^X#?!@@0j8 zX}7meAoJs;T;92m!{1Q!>AGV(2W#8uaAcywn$D)^p2>e+n983~8ie~MY#kYquF-{N z=G}u|-Q3q7zI6T4kKx|Kse8>8dxzik+TD}=%>}Q1Ce*cuDt+3^?bR@O;;I75*tthE{Z)jPzHEOGx?)XtB<~TB_Eu1 zUV3DdyM?p6Pfq`P;W^WH6YTOsvG%iF{ZQhA$>-O*t7CnGugRCL-jaW+yaLwx#o*R? z>7u=Hdh}c+Ec)!aKcBv-kJi5gD%`KKi*x>TGvl!n;p!PyO${<^CAdWQ=_ue!k+ zbhO})`1FxCpNxhJKE!Xnai}tW`Yda_-OD&1=fLf*m7iS$q6Ps8;*4Qu8(={4jEBCg z1n!(IC$qI`toAp@PL*V2B020{P2HW!FRYT(tl$7!)*ZoTHj?1G4)?jgC#}2K?VyPw znZnyS7K6BNxY58&tgiqgGDts&Ewv5{Qf6^1{O@}r(aR~BQb1)icq4HtPDqq-q*a(x zV||achH@oJR2NqB8n}v!Y9s7rGp7<6z1SpRMg^(rBuN9(FCid!36542kPt;OtvVsV zQ+*P`>V)x)7tX3zR#8NoE<2=NMX3`x$w4&g36-u8XUmB<)YU4zHohjRoZ!?go_LOm z5Gavn9P0(13HWl1JCqF0>S37e$g`04ms9|j?Dw2f(e(*ITDFIbqmdRyj@1kokudbBgCO~!x7g2Mb8oT_(R!wVhLVb(!2#Mhip;_E9>G0$A+5_}S%ySZ@B1rZ43Jz3SHsSQRJIt|Oy0ZN^F8)j4I7&T0{pC(Clhfh|ry_xZ8)GZbu1p4vlRi#idHFEZo&B z2LxIZIw_GnX3&Myte876@2>e(4{dh$5&9oWcgB zxNU^*H#dlHb5A!N(JjW~Q8&LskL4Yay&ZEFrF5{P5wWbH8LF?PVC zS{x76L}gB9=CZA%H-kv1kT_Yeswl`0fEY-(A)BFcy)cQsGe^XnsyI>`*TSW^w2MwK zc~TU239)R&;mY3&9zN88sO)wS{svz8w4BQ87ORwO-1f1jDP8pE@DkP#>8m&o8b!9A z&TqH;g{r6DYmqI7B3XrdeR4+4YVOO%jwjo={VWm`XAJSeLU8cD%hI1+RQrCzD9LG( zb=dm&A=JVI#9n5$&Q!;0<8du6B_@cPM5dIC(HTdN85)*(!ho|d%i zNk0*i+8Jzhjch#BF_1X%_znyaA&P}|E3pYm-Z(9tq&D7Wbm(&LzyMoTkfv6v!^~PK zj_)WBXl6W8VD@TEQgEmnBcQvzxO zwoWbPS+q*0%0($So9(HS7!Gu+B1aq5inN+Jt>KbLzvLcPB<=UIBq$+i;FS4 zBx-dcmQ<(8S4s$RnFj@V$g$uU<)k)K4j?u5o`m~FE7@@h;oNosy_8c-1l0r%FQS$c z)ftN0Ten(>uLWx?Rt3t#Ts57mE|TKM036nX+};Iof>MjSUZF$^(}QJz#^~caLlTTD z`g>%?J#}L-7A&EP2%~sgAWGp&MS@($_M}>Q0%j>7*jHFmB^Dr72h)A)Z7|_)RRTHU zYFWmV8dBZ%c|5a=V?08ih3jN!w@YoK`I8Cr5=GQV$hlewQA#JU?Mf>JT~CJ$Reh48 zf<^)w5-eY>C=5u`6c=(!oVrHxq5!;KPD?G9B9!}tPYF_fj;{7}ub3>pM|Lze9cTw+IVeQr*~q#@{7O_iJLD&=vZaOD zqotI6?UJyXl`<&TsElA-TqH!uLEq@`yHt!*v?t!JO{YMmqi=L6PUlsD*?x z2f0O^L&WaU#_Lp+avzH8r7V9Vm0p>++Zo22ghlhC8^E7RwnZW}osLjPr%@U;D2o_A zO(|kR!xBRM!dJo(MH~5f@t0Z2Ia?je$TU`8*rrSRNg0GpK@?@)ly$ocj~+s0C&{~$ zR+H6juUkKOLwQDt*4C5=+eK*`!z9}tt}5NkRd7T|8#IXD2nYIW_y6(o z^Rcxx#rZ$&o($di?&GnB1G`U+|Gexky?+l~U1!f3A>R;=wQrVUidYZ2@+&R6ZJ+FJ zFMn()zfCGS{tml8>y>|wH$PbX==Jo}Rf9L*E}p&USkm=0Dns}T?GNqi^?!!K_FuP5 zU1rD2^xLEqV(Lk1YovH|a_`zR-U(rSSh_xipS(Wmw{M%?b$(kXm{is&mrqUooIj7h z^3wfVf4GqtZk#MD*?Quy$N%#68B^@;#g+c+ugS&ajdcxY&fIwP^mp4{OuAuP|6TP& z{+pFK8@Vw-JQ)k#4NfJ(Kz&mD7UfqVe|PU!Yqvlu(C(c_+&9j?u;jbvXJ7L_{)*Ta zUS;j}#{}_@g>yUJD?D=QS?MQcth_Y&qe}ML2iA-=yXB)5KQk9kUC&tm@k@nM%vW9e zpJk48PX5#qPM_-U?+Q(I9yrr^^hu%0GgZd^Yp(s!M7|Jywd|X}H{2SY`lI1k>QsAn zF?;Pu`KrRHPnVtfX8P}cyLCL4FBF$eFMD-K>Xzu}8xt*Umk-_eTlu#oVREYEZ^yv3 z0PQ|--q#}8nTdg2OR8_JU3%-p4gIp;J+-QA>Zvn-`gqBaXQS``biJs*t7F90{nPb| z(Jt{{`>&22tz7fEG&?8d$W3(WAN=K$Q}g*~QGflb>HTl{JG=I+s_9augo?{QPi5fq zn#OjQUS(gYOc+Zff1kK}aBR^W@cc|a+O_|0Tr8U|He4@1x2k{ocMWIyuPrG2Zs)W4 z(ZW^KpMn!&{_dn3Lh$`-;zPUNm=<2||C5x`IqYw#Ne_l?R~xS#``~)rgWomwE&Hw} zCgiP>rX|1q$i$DG`{n(G_Ku0sI(RT?Z@bowzqzxZ&F+}`gnRc?QAbzd;OR*lEri>z z=5%zm$LdhSkv)IweCgCz{nMZ2e^>EecJ52^ockQv?0y5VeJ*0H@6L6j-Rgco>_Qfm$?`gFpju(+**9#`Qz6|-+V=` zsDLNz-g&HgDpHdMV}%d#iOy|lp36TUoj*}rM1YZsgg?$DjB+HTfTqY`11dy8xBX8t zXW_PZyhcZnZA{6#M0}f))sdA_a~^M2=IX3|onj|vw92a)R0AeD?A}@1BonBYQ{=B; z4GO-~l(d9xxVHvin_llyIm6ZShc)66ks`866IE1wYP=3^)N&JB*HrR3t0^ZC!BL#Z z5v6A%GONtkum^W>@p!qI?~AXFDiH0d4Qk%bHfjQZg*u^gD4dlcB;FNNQ!Z3mQu*BN zJ-TdsyDpVcWh7t@9ZIyS5;T}ur;1Z=Ps}|;k$1J$#^p#T5f>U7sRl)t*)L;lakpU# zpA)Awj&SEhza${ar1|{ZJ>ObHG#L1+s82QhaEx z!}=)47}=euYbW75gVy`DBqw zm~mYIJda<_fO~%p$&yKxq>-@YG&C#AUv&vGp(S%s04b_?@$OcvJ}J!JaN9tNsI+}TNBI6)Z;?hGIe?iRGb*F@23ZZ(S;q&Aw% zmw5O{EVE#>+MGJ*bGJ)KC(uNkpxJ5z1K2u7vzu30n{(VOWS?!o^ZHBnwm6p4Wa44j zSC`amTP-Dy1QmLPY|4@1s}qm%-o|anIl!qe_UG;FFjvc)8N%^RdjIG8x0HQ^kS&?o zWW@5|O`4x|K7)4M#W}Zl-H^onU;OLjscr+>h-X$uGM10ScV5^iVrC=|~bIXwm{9 zZjf)FQ|pk&tTJRFfZtUKKgteYyap%Yn%V6rlP2lasE+fK3U1Yy+X)t62)SJ+WNb2K z0i#rRH=RkvsjwtXk|ra+#{+#Y9zW}DB9qPZWIE&U81e*&h$mOIUPE&UUf@%)NMmmX zUmmYuA)v{1sm*Ganx?C{dkSFsv>J?NR0NgV2JbzSflsDUjc;QKhE8&^aIR-kER&nX zqC`!)jzmHs%}E*z*x0#hB4Cxe5k+fUGMY#ph|{2WjZO!x$O?WA4wh5_6=)rBDxTJ5 zu^79Qpr}k_Q~NADt%}oW-73}v=BT6P4vC6WuV%N!2l^ucpJdgFSa@LGM?l=wtBooK zS#QJcCf=h|@tU|cJYJL3TMC9H@WnA4r8~~6DK4&&ix+_}tp=hDhq+W-Nt{|(F2PaW zdYs6lsO5-<7sCZqJtZMF%33IuA%c@U_|6bcnp1ccL^caO93btuAGsv8Tu(3%wWit5 z<;xB|7QBsy;U1QA;m%wYhKwrXZ8J{~XS?dRpE~FQjK4h$co=|Aj6oRnK;9v=E@Sd5 zFhGJQr{Itq+jL;}q$Us?rog{94Zy63u_Cy_&=~v`0~G8MtXT-9jWG5_*A!3)MNP{I z^AHMlqb9U~QpLe1fPs&}g1vY$vT3AbmdKSg41}ZLSx-=jD7DRO2hLf%pkw`}UZqC{ zmoVB*J)RJ!X6h||OE!0kI>d{yQZjtuc0N9PO<;hkfre8@s|>6t4maLz>t%5V9)Gyx zppMEeK|r9M)kYD1^e8VhvvCyi(J3Ymy#cX4(sTsh+(zI?<p z23;GK>(pR`SrvaT@g58AwyH!Ot2R@V`cX>NV@~3uCU!L)r_Ml5;&eWP>M#IBc3QuYK_1Q)W{PdE+;)iJ6zC$Xgz} zKR@XiHs+=7Z4T4t;txNV&28{j_D&R?-k&s=T6KABr=CjZ9>eXa%+k=A--*aS6(yG}b@yA!#>9KfrWmIP!*EyD$&T{V)D##n2 z*vM|!2(@JXx)fq0`;aqhV@kpg@qH`OYEzQ_r5ncE%}ab$d6P7<4m!Bl8aCzGNi+M} zxiih}{2bO@ozYO(an%@{z)axPx|wwzg8Dp=MgFI%!J#D zV?)U^F|!`PJka%jv$J|n%=Bf^?lt ze+~V%9u(|`r-v3hW}mb|tnHSn=KikZJNx#Ce@^Zjyl}Q;TJPEY+$5my&rRT-?-F8= zSc}IFt@xvIFv3i!j-6PMQWG0{aCS|~%U3)dOP-rvL8h#{0h>HKgW5kfjc@#p zxCy)2JlVeKm@g)8n&I|;Fym4wRlg@+_B#Vqot=IL_yEEh$ivK7Br z*}Mv!>BO33B~Q1xUsACa$Fl8|Cw^s=Tf+L#VrP&um4$HI!navMkYkghT)YchATIrXeAc-!Y8>8>>39uP==m<_1e2;0L2_pvTB zE^BK!4M-z6VmW8kC;?W@u}%D|+WAaNwo%k@!4v;I{vR2vX<)wQt!V08f=YH~;I?kU zyN=7R;>yK&dzFxMK!$4EI+wbFbyw*u}VGLXBivLGisr1?%`R*s7-8RUq>uKs5r=T z4??2BiZ(&C-i3PV)@j%bv|9e2b;x#3Eyt;QT&)Pp)%JohWD?myI^vW&jOQBXqkd$8 zQanzVBQfbZE~uDQJZC+EYg~62+9fVd*0u_{L$Vic{6?ipM!FHWttvoLP&km9cw{~o zH4|Keg!4c{(6`VqA8IIAO*Qa*lE#va6Fr+nQweuKz4cWxB&(d>G={P{LhcXUZA7j3 zMgIUrXz;m7?jhu1Q`(040mbF3vqlY1Y>jZHTF0APlnpug=WWuEZy;&ol=k>B)+<~0 zT7sTQ6los6#H^(jLbQA+6j>IHf)twhMCG+5{+Xt7qWz7TnFr ziAQ)n(@h|z%AkQE6_hRcx<2P8co7NbfDv!cj?=DY#H7ilM%tRiIkQ+izAqkISz+2v zSmu+Ua0qHR>)mT;a|=jMnt1I>?fraQpCrs}!mTBA_3ibvyM?i}(P3F@85Kv+0)E$K z#^oFqDs}C-(N1IU71Gn2Bo4($VmTMI_k1a|}iy#>7fg zJ4ccVm8$tZA0@CHHOoyc?KF^ z2p9*_cjL?9W#Nd&jROd9Y|7+78C)xCqv$r?c!y+7LkO(us9ZH?l+;in>S5_+JT&6jI*W%k(rTlc zs#oL=9Fqc#gaq0g$|w_nGHaF6(H{4OMf=dm9EMk2ynVo>4SlErt`s;w%c z*h?W|yOhO*09tN~Q`6Qy2gYqD+>$yTwTp+St}?quMHV;C{z;yp=^vph}0VIb@F+6iHqI z71l+3EG1yueQ|QPOf8^w&Kv<61}&Vrd~4Y+W8Z!cq<1f2Q_(nXkrwhKaADFxxnvZn z{{-~KRZ4@yeG6%6VwaJvr6h)Oy$#hqN}|4}B5z@CM)kUe%;*O#7cH`kGeoQ{#1c*% zi{*D)hC)9PTLE`mDTs0wIv6&7Z+l1ese5Q;;TTEXE{1QkAaCu)W2UGvNPi)S`y z#W94Dc%X^@o&&m49E^$rYD8g7TsxNs>^&B`128V-iE52m!v|>C2e7%Yo>%5AJ&!kf zs6<_)F_ms(;umJ)G*^$@YRRPE+ho~e)=!}vGVnU0?98w-(MT>v@okn3)~%eI+8_qy zw)Qm00vY5t;Um;v>Coe7*B-0nKy?wK_1=?tqdeXlvi{_3r;}H52C+RaKlXDTonw}U zD6tS7s~7C&eKK_+w=&H3bT2}UwGdWu@W0G z)dGR3v9!g|+?%O4*tj+XFQfyZsS+T_R#YKmMiEZ2gcNPZ7J)QGb@hxnM?3|lr{!mK9KFCgSJVd4F#^*N|pZ?J@`pzczc_v1? z?0Y8;gg&K5?Q<_vJBIDb!mpC63?Bg_qrKQSIb=%t{ciWEVas^Q^S934`etWQjs53A zIpd=1`k=me-9LhV|Lo-rYyEbrp~^Sn?r#3Wxvov$W*1mj5kKpG_;l=ibIJo(-uXB< z(En4x!9#6llP4;dTxlvby!Aja`-ARYQ_?|Q%;d3WAJk=+*;mB$rj+}J9peWNJ>e;) z#4BWZS8&amrTpdKgx}^I&bYw5{hW2un)6wc;Z@wuy*x76?#)=Sd+S$dIPJoHS3jJ- ze0*c`-q3#Mr}SL*lH<+Nf;se?1SBVu2aEP z2mI@(xor*ZF6zp&guVL4hV`HF++(F_zq7wJMqck?j-AZriiYjBiIaWzf4uv{E7y*` z*_5VB`S8ZTj>}Jdu=DDd(_MWrKX8ePEB{pb>$6E`4ovTwET(Rh;&$ey%5Yv<0xB<9g?j-5T%AG0xM3Vw9{lYPJWTDPMzy-f%5lPhJN^Zt(C zShHs3S^R?)V|eMx=geQs{O5~ZGYE0 zH>Ud2SUYoVaPa7P?xVF+r|vuU&V{tbCi{YG_u=3Ex!{XR=kxw)KWwlp`?2Oq`?bNf z)@l9Vw@vo+iQ!>8b?n)xbHtm3^F!i#d-ZJLkvV6!-dyxi_<>1_?Gto{yTJY^d^mB1 z?|$+jciRKj3$rg&YTsrv*e(tVojp;{g{bHFP|m%}fR{5CUFaN(8XPJAnu*)pvgv?m zcSfNXh!N2}(J@%@h1kTPZphA!7 z*<%baagMEvHJ^oWfD>OGYljN`-nTBTe6IS^${9rcoFCD9-rrvzIDgS@rXVt9c=N<&6hJ_6@i6KXzTZp&tluxPcFy z*>G!q^i1Jo``I(g;5p9-K=5C6I(BaGub+lrdF6LMSBxzS*$b{cc;MaUO7>>Q&L>O4 z+=uw2?a-6X%P$ur7wSXK5ig2HOyYf9ihSPC%zzB=ATGb&yXOLvdV!&TV5p0XqwHNh z2VlFrurx)!jQh|aTCRriDO@`9WOG2994WUdKS=$&XzXyND4O^qB+p7^ zx)Zl?kE#SLQB_aR)mf-+*+c6k2^9PE7o6J4wv-m(L@S#{013bgmfLbRneW2|t`?85 zP?l`x%p;U0;7!eO<4hFx{VF92Tu`U}o?hY+5(l}$I}bk1E~IDkR7Nvp8n8jtfOi)X ziDhEG2QA~4Q;j`PrOT$yZ`N%B##8wgG3WOIgyi1^D3WNPgc#+~=IC7}`>X z{6WLFvX4i|Yyh0?cx^8qq`iu5K8FBzRP~yMC|Hwqs{7;RT4_X93weW#hpz*(;Q`Nl zN_fiqAQI$sJYlfecb30*Z6ViQGFL(A7JQH9tonDIIuDrl&!(Q%t)*5=b6FBTxmPq;KJXwE#>tKn~TWR z9r4 z&>TQ>xJKZGfQH3wK3So#kCyBca#iemIFy^qR>*W_66cdMaj^&m5;Q1Zqt}+#a;%?H zoU9heM9B~pE%2!d6cDTi(qCn$9X=LI89k{Du#g0-!v3Cybv3KGR@%lkkv~Z|IT7)s zc5uOyg`vDWjb7YUXKfdLFEw}#+uj%byn$OpyHQr(Y14;-D*8$Kscv~6zD%g<5zs>U zW5H3!wkH*?C+xhRg@6dx2=hUCl`>3CN{^a_Q^>Y;$rpl{stPo6CU!60gY4W=Mf+Dx!t!2;=wbH^ef)?GH z<#H*X#x7?-(_B3N&RSw07m#}elc>Pq_!z~PDI9;iOk|dGtwEPIRiUYffJoyy&fm+_ zt(xikW&uQEe5@sNk4Jnf2C0%29X7W zENYu@q3TghNY|mKyh3}A2O~3aW3Nw0(0T=yftvk< zVr=JrS=)xS>LLA4;e4}g(B>M?NG_NbVd9nb_keMw;Rjq=M#DBT0J0&faS zBSG^Wr$QKqes(M-salzmsdiS7y%;`#1x^YA5*?s()iAl|tNT01LJHTCJnzb}g;d|O z5e^O+z{89vXqh}efrD+; zR6cM=Ie;G6G66NxYRhg($?2wfM_vy=X)zG=usx4|v&SadRtWsE|8QQg4Egb+RA<~2i zq~;Vn*v{HWb#5ex{i4vhcW}Ac)Gt7XGs?hO?4f^I^WRvl#LBb=88zFpJ{RH2m#~yp z1<)OOCWpjZagoSZ13n@1vo56<`* zZaxzftH2ON4Cu6S4hApOEW_q&^lSmAyawQgom5lgrnK50Bc z8KhEqF|{^=w-OCZsQ$QOB}UPxsHXIzy{aPLl`|D&@|4DeecglgRSl(NqIH3(6lGsfd{>^B#WV@mc@*rjScSLcw<{rQ>Yn1 zU*CiFHd8cYi3>_v4MPhO>*sH^6%32r<^PZ-xQbw(|4iS?)4(%7(sKF9F~|Il6f)v- zO}V|do}FNa&banQ?44B|N60JWmbc9i8!L{LZwUw2)Y-+@!lP&0L-Kgd{3RdVI#M34 z9y@*DyR+lM=wDn#%GTO&{ac&rS$lf^uz0X#d_unLnKC@w_15?sht8b110)2sA2%qM zBU4?KXUeYIY}RSVS6y!%^rNY!QhT~3biLhId}!Wlmc}g`04%>!DmW+n>(BH}uJ7M) z{;}jrQ`WQZM(+>f&j)cWu&mXBj7M^?6pmZsJI5)EPuNVKe zcgp=$*C#PL`w=nerH`-W3q?PNr%TVQavjc?RJ!+z;ZP)FLzUffu*o`7^fGHDw|a|kM3|uEjE-=__^LPle0bMb*2dk9Z7VM4UA<@btuH4Eeb%w2qg{Khoo~5$ zzN_t4@>k!U57&N3?w7ih7do#$6)Mg@zjo@?r*^+~?fm&q3s-&d_wQnSVTQjxxa!2UW}>d8A2?P0!sP>#m(N@-+362XmcG!n{}0Lm z`Qh;|OZ$7>*ZXwAH_^-GMWt_3Z_8o%oO01M@iS9*tL4pt_$U7-Y5e)b*T>5rD?T}v zy#CC|ZeMRx*Z%JATjZ9T3*Uan-f*Lw4A&k!G8~C@bawS$&(1Ech#mgmyEkqnmWRVJ z|AO5E6HoaH=y1(}iq1Fumlm3CoN5V+KWD_QJM0HO*tKtmA#I;h6rBShzTw!HXAY%a ztbv5CuKKG-`bR5&pS>>yN+KaX4-?9He%?=|Lr%9Ln|StT)xIJDJOej{E*xMV&9iR75p2m z$CErm0s=9>zdH#C?z%1I(ubunk1Ye_0Fm~&&e1Ms^S?(6=XUfp+v6u0ymPN%25{iy72Dcjt4}&040xdb(!CE>hc}Gp_1l+TKij`y#6IT+bF&M!J>8Y~ z?aw#gjE0q)uvz|f`H}jWCyUN(7VQteylLs^%w}Cr{Cc({?E3TIG&7l&ALc$P{j%`p zeD>xDCIrRVBOIX>1z_R~>%ezH$9N>g^bbZw%H&ol=fo(d%2m`U@>#N(!E4n>nF;Sj zxj>9vDw=&Fwp@WIf-)tbHY-Q)VMbJA0SMjFPG@g5YG@IlN;i1oFsq%iE(vMg%OY~h z<0zdL;ROCXyCU(ls#KuJ6vMR@?aX38eYQABt`S!N>0qmf`V zy069EL>qMEu+`Vbl6<Xt!J zqGl__#Hkq0?1S>k*oSfzEea@-o6ts?8X&p`Rk_)9ODSU;ycu;5!v`dJKtS!RXsTAE z`x#ycmg?I~q7UuhBcQ+3*n7(&Zmvw!9hWv+eOyp~9@m(%sdS2~soNrZIa{By3}u99 zyw)MuC|j^uOk=~;9mX8S?(a)texbS0FsYKzr*tqv_PObCVefVz?lfA)<{i(JXv`&) zN^!S)0Ayu>p93>;qZ(sr3?Mv6>m{10TqVb_T*00OODIuA2UO-+KdW?4L&EZ%E+q>$ zZf?uM6-knm090N7-!CFprPC#hz4I>76+hzJXgS9;vJH+0%rw~@(5L{PqM$L5fnm92BOKq&Tf8)iPQZYjv*%ioFVI=0q*BsW8^4 z+3*)S1GkLfe5yr!6;+eyS`xD5%PW+A^Ya_EL1{#kAz-YkPw`Wblf+ntg+`f{aLa+x zW!&9}N3!XtZ)j;Ljhp0J^N*U#Meyvq{om?Fz)P&A0umBau#7DMk(|ox9In@ zhzqH3agn#9eJbS_3tKN?qE!RZ6kHHFhGN#)bU6`WKP9C0D)}6*&2hfL1xx8bKf-(Z za3+qH2`|c5acoi+Q%++h5uaG}ukCoxgP$vu&y4S5N*E_giw+^j)d*tY&15^7+5SCq zkX2OH935}cl8Fc9L&PAd7L~1t`Iu5t8DLR8tRA#x)f9+y&YHVgLB_e0%~rrdA*d0o zqYo@zY24}j9BHiJ)kGWHxVKSYn2ti%#1GTA`*qZNPP&a_<>j=oOe*A&s;7^%5b9O| zu6s#pjGj%Cv%NwV}gpZeU)xjJ- zL^etJea~R*Jc0yPPcs5UUvoF!?8V~LMyd>AmMGT3s5lP8J|;Ld2)uJ4W9BZ%tx?ee z%BB4*X1QJJQC0-!fAfcbUxEnuw0R{DD@^;0QijSd9YBT&syQinAzW z_0hEvn&xb>)Q51An@==R@!3rrCA=qaLK7*Ft670#Z5lofkH}OU%|{^b5B1AHSJM=o z+4C?S04aPimef%^jyn!;Q^k{jvbi z&}hzJqoW)KfJO}^-NBWo$wEN86x{r{5kXhe&)c%j$1!RS<6)A9ak9+$bG0rloYi<7 zLxSIwqzy5$mXoDSxKj7mX%&pd0&SxEP7>pKWD$JTbmCrC%QNz@MhXzOm%Z-$e6imJ0vpT6s zpr{?xkQA#zYZbLw-7dG51$;G(J&1SbwDXiDD=BBsTRG)q4U$fha(PC%mom}WAeZ0u zmICyvbXrR9rF(H(2i31QHk6pFwY{w^Pe!dDz_owZDE*r6*sfU_3-0FU3@o>}x_z2V zoP7dh`>pIAc_NK%^$Bnf_DX^|Lajb&58V{O$&Y$iXWGKG2&sPF#q^QwZj~fBJA{)F z!FWj4LMv-|mGcyk{xrUTUP?)UHV`Y7DhC!138q7ih_I6P*L1PqD-qt?R!Z9T61H}{ zT?jRykVc=w1^49Dv+jw9d25z88O^2)FA&3~T6r|JLws6TFV&OeHu6*!m-fJ}Hc~3$ zzvo{ddf%4Fha+Si@1=R9MAG@*HAxfadTGfO`}#9Fba#E0yb%6qs%_Cz&BbZ|bX<*|Us8Rg<@#e=-aheZVR6mm=+SW77+Ie7 z_q5+{YpVNj=Z&h7V%wz+H%AT^vtv7(Qqnqq?N9qCdH%McW3Qe2bb|A3n{Qv&QC?Yi z^YF^z^by;KJ4ZJBIykaq@6v(y$Fd5J>Bg5P9?QN`VzQgYTxDr1?Nrr6*9pC4sBNO$ z{n*0&j@|f+FAmAgOzniX+_JI!x&f^l=#08AJI5cBm(>2+_ds*R}OEx4mXi*(_{fVq3O!(PKEQIq4=-r%Dasi&s~YUAwM( zKUq>S-mEXkvM;#t2%fU<+Lm&A__C{1`B-jyy=&h!ocrp?;0+0K=6)``c~P!(Uw5sn ze0=QC;hCqo#J${Py>f9PeD2GSyL}VwhYL)V%uDkRmtAWr{W6A^+UfDw@iQk>bDfLX znF$WNXN>e*7}D)b3PVFh!n9{Rx)3Hl1~TR61^92&FT)mmL^r*VF4iqFBoAGP4t+vy zoA;eO#N0g*J?fl_?pnL$H&)k7?=>n;Ipl4?|GM5B-7`e~IeD1eY1;+@jA7<|0`^=$ zr*u#;ux6_A9FTxjw#Cuj+vV&AvnRu!lY3XL_|iLNVE=HTOSk#HoA|n0kH_@+K*nl+ zq{|1itaJw`w+j{)cZ?Mn-d*ws`Q634eyizd%y|Mf3D??8g` zEdwmB8qlf(zpjAoHm$kz!t>9))G_(^Y2bi8H;r~a>Fn|z(O+R|(l(y)|GLt1>p4)q zX>9rtui8dPP;;I)5Fj=~&64Q{MnxmAW0G$!hhqm+wN&+!UCZ@|)I z*DOM>mN>de+1>>ATUyWv#*Mss8QAT$3Qcx8`{nzUbau5o?>tFV31G^}%4BL2VJj0e zXV>z5R9OyXD9y*H1dE=Sz(GQ&J15=7+)qZaU==f92KD11oGPne6lY_d1>7Th=Sqe< zSTV$p2m>TVWK7|t3-`ZyOS<#tC@otakT4GyQ00aSw1w(?bv3%nET~t@tJwrWZEV8V zHnR@Vl|;dyDx@_+#j2=$-C(@vJWn(W+bKCDsi^$hF@K%qG+OpNYd@4Fq&sVMn>X;i z|v{$m=~it=2~1cx7mCb_qaFsG#UZI3Nr7b*MLuLf*7H(C&lvB)gf+# z>JOy_DRZ}wk)yAdlLaB@Q1YFpxle>OY~6^G{YH4vf8?rjwUkj4P$g=iw*u-_t~w4( za~~}cp)3>T5O_5N0?w7#w^>1qlki!A+xUPA<*}MvkC+LJY&dQiN$&?EN*qZjx7(VT z_ljWX*u!i) zB>z$hO^>pog%Rtn68Qxd!O)LOcPB~QpOiJtz|~F`EJ$;_pOU}dt6Z>s(#x@Q>71;F zi&UJ00M?wAcI&~%3KO>=F_!^F1UbUCmtq1HS4$Ed2CS#=ql1jb7=wy{-b>O#lbCyv zAho32gWz+5wY18%b|;2!XP$a!yHHQ*z*bzd%y5xvBLnfhwq)xrjadtJPk4V$ZK4wD zcN>(ZW+u*8M~D8SN$z3Jo7-iWL?qqS|2dNlHki$bt3^q)`n`^96lNE4O|5LIHvA0% zC8L&Jt^0*$0rcll;vlYhRD&%gI0RY>b-en2v-d9GQIq)|a3)61=<%Fyl7^BFrJZEb zA)O8_9mYBqgh?hH(&?0;rD{c8+M!}Wv|Or-=;|cRl=Q~XQn8{7ZK+x>SgELZS#3*! zs%QmS^|&guRK?3udZi$j??1Tf(NEpy?DIY6e3$10o@pj|CwcScz5H*#-`|)^TS(Nx z5S(PjF%rUsC>KikLq`|z3kAgrhD=Pw- zB&yL=f({`Q(N#2vl5!ME&`4pq4uQS_luJnx0^T_TqrY0ea&}XPNQ#7+K12?)eEmT* zQwR<7JbMec@^BBz;H(Q^Il^9+rY&6@&XR-yTSW*Wlfxh`HAX;{RD3$L1Icev%zChg zG-;<|=}iP)L)IE(T_evCJW&7+&}!h3$<$eOW~KqJ0KG=Bf|Og?&(+a#ETKbi1!*^- zW`_21%@ns^LAfB>N|d84^Qq&bRYIY_l4WcmNqtJ99i$X>SUAG!cvBa|7dl+Hp0uGZ z4B?mrF;@zrFt^aiF-SOd-2B-0g|;QE->cQi-cOvjGS;k{fD&Q)c; zn-lIPD|OO3b$N@B6u_r(G2^skuj1z}bzM(!p+4hMBU3lk9NquqimD`>$dR9yDsE=#Cki@-z4bTyIbyIzF5?!tYNX`yZA$o1-$HF1t;ZqiS(k0Gyv`1`ha<6do%E@H}@#Mzhwat~%jv(voTt$@{nldS_;N zLouBazhFqXEv0FV`K)*Cg3fSld*+7?hu#=Uxp{-zzrWVu-*Wna+b=#bR&vYjwbQh=)Ymic3XJ!xpzKE;oO~x)416F*=K4u59WOPWFI}U`b^uaf7M2&5le=KeBU1Z zU`^t^^7j=t@2FRd-zAvq8Sj2&+wcXV#M}RFA3a>OC24N-@NQ4aH^0xk_u8he6lP$? z+5XmNxGL|0=1*R!Y`2aUUvGYUe((A9bH|q$Q%FaCc<=bFbNs`1uXrG9o#RMGU+(zw zlEK@@J@*_fI(W)`rzp>D4p*J2jlE-b95Huo zIQ~T2x%+OJcm2@Z88z7#qsKF={#3a^6_RdANu*Of=6jfa?y1k>T1u>E9@^rUUO)0$ z|95wsBWuqmZm)mcJizrOJyU&BOWF89%%U`yKt}visxn_Jww3-aUC;&gk<02*;e=e{;M# z%4dcS#Y}8&n3p&-w(a)9BqHuF+p8x&@D5&c`o@ccn}=8Wwv*#uSG-)mQ&^xRU46%` ziA5}(v_END3cdD=lS_9I6I(3Fhf|o-p4}zo=Vve9PK@*P$w1Q56lUdfNzZ*NCLP*; zHo&A5rQHy7`u8`VUs7`Q=;HkC5AFLy@52K-#+S@_7i=V=Kk9U`{Y_;k+aI2F&+k9| zY6GfdvxuR+S4b_g#Q$Cb8Wb;zizm-b>7q87Jk1w4TOL5$nZDw>Mmxcqy&cI zv%~xE@eL(@yIng{d4w4NXUmyfAwn&i#3r3hF&|(03HyE8?vfQFMQb*$dCyVuyRpQ~ z?RBF(J@vz_FEZoGH(_>t*MxU*O5z;p8P?BUIo%DRUQ74$PeP=09CyoyzAv3G@cmpZ z8~vZJ2lRF97T?CTAAA>KfJ%46RTmF|+P}$dHlW{=pCcKn{%nkmJyZdf#iQe&-kb$QM($heks2Z-$1N;xC3qo8kcqJ?oEe zhK5r=8^lLnIobxG?RVSo5C3>Jj{jp)uk*8S&(?o1gIV&Yp{%EuTzBss6GPXWE)$`dllx2XaVvz0T}+S2{&vffXGhjr{kFAhzj|hQ_SshXox=^;#JS|*{o4RnHjxp>8XtMx_KrpPa8f{?sH8R-^ zq0$)ws16!q%cYeBFg8x7;#23{UoYLhSx#UihcI~$*wNzH=*zMp{1x1yZI%U_*LWst~IO$!`^n z8AP@i%#u@7uu%52@Kg{oocjn73$;ju3nOe;GD~wZ_yLmHV*;Ue$q83I4#66Q@m=<( z40?`7OnShl58Coe*DeqJvL=f1F5aF2Cq##*|8i5o*~E^3kjx!a_M2^&H|Fk;ol8B-Naag{o9_L})j4CC~5#&HPTY(naMiYKI#EawYEQY_C#SE=B2b$?2*}*g3`4 zM0IX}`~dnYfe6q}+0#R)mGvC(v!Yr$K{ZDk^Z~l6B2h-Q4#|RPxi%wMri-7~qF5~O zyjNdMI_jz@p*7{U^tmnqXY)8g>JT@vHr*ywIv5b^nVCLDlnpuqriJQQ7dSFlUUXs2 zhK6#LqUB_j@ktQkM8;7(&AarXQroR+$3KR=Af2k| z7M11;WErmX?KPX2*nlXx?3~5HatK2eR2L|mPr;CY34?Cb$RWJc*hBa*AE{!rSK`R# zkSw?zJuX&J)vJIWPFBHbLwoh!m;v>=64BHw7<7`~mIJA!fb-0v=oC(3B`cEyUgXOw z>AKt83X@Cn;?uesR%AOwtwYl!BSUN0Oz<_Qatd$}8)a3hSArM>76yepDFfw&_a&BA zAWpLpIO_PN155&ovL1+(q84(KCnXm1FZBl@O=%0WknpeG46t zjCli#slxq^R~IVs`;0@F#suqxn+S}QU|f50cmx0;BIq>Wj?;r)6-2#^qHuJc<|D5x zQ+9E>r*Ia)TJ9EZz9szG4P2|(MM#_z;*0;$T`vN(!6C7llyXBfh>3xfCzn)fRiXF9^ z{|(+g=t>9@y25~Rp{oRN3+Ule;N7P<3IRO_AygtL^k_T-rVyk+MqDibTfy}RKQ9es zgTTXyASwVxL{Jw@s0paEI-r$cW8lAOl3E6e2!e=KusTF2V_lGa#7yO?yrqa;%C44L z*>{{-6lVZWS&KuHRO?*om?DT$15joNA^nw||4+5!9ztv(5%VX2qGKxBc0Gh4h|2k}MX(v`7nBe7E?qG@#^? zSz?QOi{xigS;3=cii1NGYmvPz3};d8q_mOarKNRbv!|Gqg=PD z&b$ThtdZzUhw9;=|6-3_{nweyGwwUY>2gQFPovYko5-mY6`Lwr^6VWfBih&jzSSI8 zzN3lO?6K$!rqM{gjW@6s2+X!J3Q?!UmG^`@xm{d3Ni3gy5KrQ)&9=9rM2T{)>o&?q zq#iXf7UuJkZ!*>}qsg`d1L51(x<~k=!7oRxpI^Lse7-xY?m~4|#gc|mJg3Ij)_?lu z`F`@`{88!P?^@rPephw)?eCu@!~1qi&pQ3yZ}+ZwZDd2)ooiD5+MKjx%W(E9;j9xG zCo9HQYGr+%bM1kWlz!o4*TuW2l-4igzsk1@;e+EBtR>G~j1HvS^@7?izQNx1*2(Jl z+~J*_p#gECk|;^+?yl(`tQk_4Rn!+R`>uKRiKqTY+@*JbY~Sg6YfJ{xrU5 z>BaCJLIHl`Lm!FZf#LP{%@%K77WRC3^7PpicdV_64L6U!2eD_5lgTa5vEgUdM89y& z9Rc&%-Tcu<&nMb8vTy7LvQC@L*I)d?@Jo(C;d?H*_t`_0=VKefdoO-EC;ZHK`uf50 zuLJEbG<&m#eZwEK;n=g8nSY*GVGEypp=&q!sdS=v^^vWD92SOs>>bQ0ds=Vd5aB$) z&P(lU8gtqP>ER83e&C5E@9x=sNG#lU{TY(_Ds*(s1d-t1Kgx15lH2Y&)ID~;Hn{Wr z{>2qd2evuI4?T<;gipt z^L!Ex^@U$AeqH?Z%fYwDEH#X-V%q{AM4GJ}^VGkmz9+qPew)y=K&n}poVk7Wr0MQ3J{oZhT*8k7xc;pnZSBo~ z?}$J~vZ?K@03hE6F%=O2xe-WT!HZz~n-`(4Hu^i~%h`RG6p78Tm$2sBc0_1j0bVlQ z9ryKay~gS~(m8O#KT3SdUM4}a8q@}rOThwmD_ z^AgtNv6J0{{J9gKf6IsWziu4&efMo)+l&lFP!6-ifPf{d$Aqim_kT=50RILNf+;ec zxqgnes~)GiY>#RepTIV@bVQ&apHN36?TFOyH!MgllO=hf*dBFF-U%=Bg;X5!C64mX z#vYL>yVXp!q5B!!&t0A+!G$7)#A3mtS+>@NrE*?L6)4Co<0P$I4jUy;keqk}P3)HC z-Out(`58@ALX*>Qgym{mh$O|`skm!l;^r!KS(I9dvwCG=v9+R0Ewc8k^KsqJf=c1m zmsArRhpEhz`Xx=53#$N}Eeg#R9${!Pk2Ac^3p(i_N|In4%tRLoK7}B}Ng^Ve1Wbjc zhJ!eGaV8^$h1HN$P2fF|KsdorEY^5tWo=0$hGs@BEKAE%MAnr{hF1&utdEdz66KHQ zD(p0gnUPo2UM&FciQ^GhnpB|h8_8z?HsR7zh0p+Vz4V5_Mq|ECF}h1j=w{_^;ngEt zzUtfK)g^XnmeD)8nTi*uP>MBBOd)6JFC!HlQy?HxLp6z3=;w-@fx-yMh5A(R*0X82 z0EYKkfv#Xv!DUi!<2xi+_(4?ABTI^A4bI4`J|q)|lVm(cu}EE&(L$~xER0h2$sGP( zf`OcrSSx+S$up!WN{V!VEuSE`B4$2aTd7M(llW?8&tv_du0z;^Q@OSS|{ zIkaxA2}3gUZfq%yZW2XA5~PUS5+tN+ok+DVa}u%`V{ov~(RDPKnL(PP!KGl)O9);C ztWlDwh{**AEX!P#B}QT^TpVUrFoA`1V$!A5Z|J;+%iXDYjKB-Y1Y0T7v|L-r3PKbn zHFBXsU`ELevQ3Jwxp*N50BXC_ifd|vKxx?oQr=32TDNFbpu!nj32lRH++zd)W~tWB zm1+=NUnwQE-hbUbBpL$=o1l6Otw48_Kuj~ZmiVimD# zSuAZrk?I6NQ`jCsFoDZJ!xV`#Whz-GY%&@w&+pO@*`}!z);sq~3dy(gSTLX(WQM2N zI$@efT4ZPd85JN6AYx;5G~kleI-UbPfnCW6dTEo?$Qw|%o2VuvOoqa8g@X``7;!^d<#H5aJA>hAzql$vJ|m4taLo zilCjBqf~Iy)#HtLtg4WmA_(nd3lJI!II@tYHW4!l883-5jYK{au!_E`>d>ZN2LbC@*P8$X5fT%+n zS-L_)wHc733t~@^eBd$75suZTmCcl(Z;={vIW%&GNXmJ#wK#-mS-fdLY*rV8Zf9x{ zBn~){?A-ejm!mW>hE}o*nb}&!&ZJ~D$mulAtZOXhx39mlBj3_0P}P{JnKs}$Q#WrB zQKX)E4s1A;IK z2i9sHS|P85JguO#t9TR^P!YU?M-Z}uW07E&fa8q<0&%}IoIy7Cli9F5O{>XbhmR18Z0|3^k`_Fddou!}jn;}R z5>xpweeDyT#vQ~8RA|~MB{5}=)?~t?Z?**ztf^`l!~tqOg>Kz!HOU8*i#9($AYqRN z(ubIv=OxzHRHgPF9=W?{s&jk8_ph`5{bjFpzQ_;AL-*7E8+Y+1HVi~xID7NF)6WHzu3)o)1Ye$*cG{g5@x9FMzm%7V`IEiFl#lL)4F`EcvD z#2sR|b-enC!R`yz{F?mU0zhG==+xh43&N^7&6?uf1&wnnwCnTMl zs>b#E6VL8HgvYe^eRAz^?&74P&7*XN|G4*5Z zxA5fIXU5~TiJ_LGBkebw@9CNPZv2e{^Dp{7o)>*@R~nhsouq;{YW_;%Rg?3MrU_StH0c>l2NL|gf5!uhx3vhekx_MP-$O6X7)cnLJ|#@kKYuOD@QV~*$I+b_J$hhx6JerRX< ztn-gN5|=YIc1hOw`J^|7Q}{==+-}`=cwXFJUVX8z9Uqv&pc=Gg(iviO@Z=Xe$9gKC zI@jgtP5v^u|C#T-^>@^!BppvYv-r~z@!Wgs*Y{<#Sa|D&E?^6L{f6^G?uY&KD|KUC z<^DS_boH#VcS8u#etyR#usHBRebjvkkMV>5VB2(gN;k;;009S=KvA~5qq7ra`5(wz zFL?xjI!Xtk{0~7!+Vs7#=hm~?Z|&%O>iE9p?@Alj9@_Q;yVUk)AyezgYrU7$2=66{ zpUr&TsLhT0l>Gcq#9^>@1U{mMAk{<8NF2XC=KJbJ+q1q`7j6B1-_-cf=}^>m@ES~6 z5*lxs0ue*A9)7cCBK0AFc3iu?1U~+l5_4hNizQRfJ@T60IrivF@KM*k3W z^g%=X`k|YOpNozM3-6YBHD~Qx02q?Ktz_D{6&q7xK0kSOOK01&-$R7bi3mD`JG;;L z=67eSbLX{9w5GjW{#@FU@-xg6^J>o7%kOxrZRwu6(e>p?%LkXf8a*E0Mn+XmmKS9w zm)82OiJ2J_-#tw6ax`6pAHyN3TT~!6l?F#Kcnv1YS4Yi^nxeJ=3SguZR=#fG{^Q-NHZkL zbh!~$cm^+Cn&-ms09n>dZptB(MWBSNlmo1>OY^9c0-_2zyi`O@+M*FHt%$9gV?FvH z%Vp5Tl9VKwb*H{cZaiVo*+iO^RhmXwJ8`Y4SITbU$}oEN1+)KuBZ{yJJ8(A`~@e;ncB%4&yv`26H6=G29Se zZc8N%d0@R~42q5=!08Tx|4N;PxX6005ro$$RY$p^u|m-;qu?h1!B;Ne5v2)uLi$PYGU*#l2~RM$yWrwW8M@9oSs{sGQ7XxZ6~`dM62uA>JpRP_8hcT4O$6ftiX0aT)ioY5^Y3`WHhXj!&niF+9GB zCYTO-VG|vj%f}MDj>9+9;UPSgoW{zj5cZOwt5;~To@dL^g~fCT+%C)}f=v|a2yk`~ z0*GXdbOHO+Z7|9fe17}@Um@s3iw>EN)4>P~P82N?X6?hVJla@9$P63Dx0pJK3jGGY zKvY$q&d7G=704Bs%vN=>E(uk&Xir{iG-e`-MfrJ-)n81$0yj9p7lG@b4Wsy0e5OOS z=>WBsBg-_9>Jf!%Q?-DjhATS}6I~}#Zl+iiYB-@92rigJM!(YuHfV)aj;Q^L?Q(Y$ zAAGxTQJl_zLThuRTW5D;K?s!sqz{L5cQ`j`6vZQpuppq>W)=q-)U4mcY+_W-UDk{X zlu#j~6`*4Q)7Fe4DG^)p-M`1xZ)6~0ITRHks88xn4IZJ;0+^`^G7u3duTL$j)WzXG zF|kwP5SuPcqeM2Nt>PH)7OK`s$q~&y65ZobhEv@O9$qvB$ZctvJ^@Z?2hV_5KN%IC~Y%RG_{W0(dh_A+6pFXN#p(YgGJn1L!e3*v96KY+}9g zv`z^cCnl~%8s!aity8R_qEMIEjR$odbS5x&^9Zh*h+4pFOy{BdagIzO19 z(~q^>q-NEL(I=E{a)Fp+e9m^GwjvXQrIv)c7Tq|>mWR9`4*v~TE%`?cR9ZDB9 zgUe46?xdKEJuKROiuL-{{$2VTma*$kq+g@q+$jQ2WI3~$=Tq66beXzza|=DiVx{{% zjLaXYE99l>XuTh&Mjd6maY)wGisSp5*?6{jy10N%Dl(dzsgzEvywxgM?W~{Ms%Evj zcX3bA3+jNLg{`8Sx2aDL5X+@_epe-129)cU&pU57FYyi?VV`O7of?&56tRPx+{3Pt_QIUConAV~6>J z+fSTVjsDsp>fXC{`jvLuOX;WAu>K7rwx@en+%GsK+c4XI$Ht_GJ|CT%cHf&x*1Ood zo+zn2`&@Aw^QCZs<=*)+rE zAcCpa$6x;fIW%YPyw$txJ8-|dKR4|rK%eLFS(XFt_`1>D!mPAxcB*6hhLKz2>7CS) z(9pft9VqMVnYcN-ZAa5)+ft@gMK>PTuVsnv+nqtW6nb(@WeVYwQ5X`0m`+ zdyVVG{{1htoGpJWCHs7PdiwXfTT+C37B`>lt@_<_z3wLhZRNu)!-EGWDz{#E)qmjS zo^t}Rtupy_F!^usjO0z7w_z;GdGzWpCcl{TV(JJ!t!?cPnY!e_arbfe5Sj1)bKmu2 zIeAMa{~>wEcU!z?^m^Psc_{Dd?FUZJN;~@E7n678ycjdWXM{^9w$%Rqy&2IfYxkFY zdg2dbnc@=l+}uLHa8L2>(s4GB{XpA+vuB_1KYOHg)Z6;xbKx&9G<-f-aehgC%F^RY zzu&TF@SW4?4}`z^{@kmB%Bam2_FUNA(7W^eV_VwZI#V~-|M>Za+&1;>@Y#)jUc3LJ zHvHxL#%?mk<9+z9)S+py@vC1*8*w=1!oSR+>u>#ZY_%gkcVtdsFFAf2JMDUZ)VO1{ z|AW&v-g=JsXv+RS=JjrVi5)Mp-Bnb= zjI(P7JIBA-`gvWrrQX~2+}V|u@OS4Qs~dc0q}`FSbfl$yu=gT!f7@3hCtmL@`%r~6 z+s_>t+=BKiL}R{rr<1V^6=@``}sS-cy7aI|kYW{|tB=0JZ>51jq#e*n*)$ z=~-;nMr%SmY9(&`lQElyCY!S6$=WJj6PJ9BQ zobFlvoeEg=6%+Q-WQcP*uXeV{M;b1~6>t0+l#mE?z5Nn&{g&-JpP3gwxYj$d+-5zy zHg@a1_LiPDepmgUjIFK5`>bi7UH4H5emcnyaYc#QDfoxC0)y>mkUU~+9t$5N3)t^l zZA<2?zW!X_l#lksKQNS0J@3YQZ#-8xR+2NCcrlWB;h~; zz|WREw`9(lB`f0}T%G;hT|*hqrrZuc3w#Jad-_5NL@@!`)%eDfC663Ad7KdKV(Y~(&VEXq{^I0I7x(pptK->}JMKGru6fH~=J?awzRiT}5ytW7-WZ&Eq&s^S zZA-7K#Y zs0n-?sop8?7*dIaY;`VsN@X&N1M0@|>9Ppw2%s5`NOq#8Woa$9e9z)xl>k1g9L>*V zOsMvZ;uY$1Z@6Xf6Le9PxwIDz%FR5LEC$6EA=sTD7lSbfa^@a~NJ_i89{XFVJ7+Vgp>Ow(?bJv0i z6#>saDyu%Z3-arh^|MMb%iV@<zFt8Fyx72%HDER_66+S(>-;>D(|&|S+sLW za(;4`?Fgam)+{gDma&btic~eMoKQQ3^q#wyJ{ILFQlfOoqW)Hy(DT6nzaZUkEho%O z&9Ge-l}bnpReV6MED}`4 z$ThR`D|(Kpt#T|D3aG(m>X@yrr%|wI^_-NX)Ci*Tl++wLwrF++>As8tTQ?3^l1!L9 z5(zuW`#{8J(2z$@Bv|;Zv3LPQk>!YVf~}KtH4y6i66BT;dI-Z+g@|vF;tZk;?P+C$ zI69s24t*Pq@FYUJIYPH7&8>rg5?79ZfYsbaqev&s!>&94a+ji8#S$8ZAVC^cXVxKA z0w*E`Fd)!5XN4x$B&q116XV#NhfmjUr?6*>diKus2k1fQtV+^%$t+rUD0 z_zE43Aix6_RO(2|$uP_^6tP}TBd4CVARZc$%wc)BsflK7le>iuk&hvF+eK7(yd{=i zK$ugDcn_gxr!gJg4)K^G_Q{w@v^fNU7WVeDIxsH3-x`Zd&gGLtszro!`#-r+W=JPVpdj$k102x+d4Gbv`B!6B)p=mvr?^A4N=fCOK|(Q>eb z$YQicGDr^ubXDfe%aCdumC9jg98(Bg4Ubm|ucv)&LWu(2!0%Fq7ghn=8Id7>x zs?TjFAPYB2038?nk|bj5$=g&iS7fQ^I^d;Br7{E&GYLHs(m_tkkX)iru48gJb(NqG z>Lf#JppltruVPqBls<%2v9>yDFB&bQrY;=G0LCN&$9<>|r5f!CkUCsu5=b#1P}VMB z4iGC)(ZEJca?`qCX?l?o0-Y)(=)tq5S_wc1MM*m0so@>(A^awMs*G41laU1gU`IJZ zBwZNKdGygM0Dz+hm#P76VR&SwD6%Yq7yxqsY4XrUn5mGK7E^b4M>OOx^gt5C@*=@_ zh}|W263^4AIOIK<&&xB|WYRMr>~^j;zE0gHFYV*=1j;f&8c*SN)kwGCy+SVME)~1U zBsRsp#j=ge1TAUN?Lrpra*ARVC$~eRNWNa>(_R`9JQQ{!tgh^)lfO~hCWVz{b!XAP=EbL2UBr0gX z#VZGH6R3(hifT&24N9#&p&?KmU{ou|)D^3tk6BoJ!pp7!6HyZb`4%7$Ac|)h7Pse` zhDa8l#u^-H^d?6_15uI6WKicw5BEDpHTJ8_3tWGwj}ZQy&xRBw_!feWqh_!bA6dt_ z6;**amR}|HP+ZUsW<86ZvDR5<9U{u}gk__v-(siC&zd#e9?!m*v*CEutEu*DmgF2_ zhwpJF*{5C;Z|SrzxGnk4-tlzqF0sUY`X0yJQh(rN$}4qW%71RX$2Pu98q63e%?}q2 z@bql@;KjTyuNVX3L#*_Uc^=p?1AKd-&4ZDb|b3krp z9e&}1MXQM~g&ng}qE62WOi7)anl-EUBM5HFX0y{uJmZ!%{-wh$uV?)+rRlb&x>$jeLy0xvjO9*fLUeg{w>+^2dKY#!2 zPDl3Ysq8$HxLH%}OZH!gyYn5!+V2@Q&}y`>woY5jrIcT& zYxi?~Y`rkgUf(@(bM6DHZ%w-|XCB#y?=Bp>x3sAFDlSQ&>| zSvjorSDt;kGW04l{>`h~D#J}jlu@tst_A*yD@ypig@f)+{uw;NeYN@kABkLA9yNL> z-93B=5XFP|JrLsb`%lFQd#s;0mp&)&LC`hGjcJj58nN4-Jp?J{wx!4s zo~+;7jO)_6JHO&%Azk(Za}O>*P!`_v`#)UDtDXMV&|5}`hpJ_!?5|jVU-f$XfO_s# z(l1}Pc)^Kp-PLn8PrDv8gyp}7kId^qO~_7-C>zs3vzQ%GFYG{vJGK_`V~j20Ag9Ky zod2#!UhCV>-RMkNc0Rgr5D0PkHb|4P95Q62@ofX+^^4jDhFflg#2Rg50IHsGxBHt1 ze?Na>;2!Xgtl9s2NUQ+~INDC0i_U5L67q2jet9AKCg_ad8u@A%KIq|ni-ETHVD8H; zC+~S#O-=)U%&SiTf;;dkG5*aqz*Y3`$sNDzxjXo_6yL5sG^*Two5g`sv*gtIQ@Q~a z0hr5J?9R>|eO$s}wn)ub0|~wCKFc!Iw#KX9QObWx9MK>X*K)DG%iPC>x`Z1frkk0s zLJ4+yh%%4y)C^uuBpX0RnzQu>$I++$kI^Hbs-LAj@Z-{PCjUk+xi5i?-uvkVw)jE}W*Kwhq z0mp}2gUg9^4E09`Q2S3;{0yET0N3PnkukML$8uQ12J8E7IYtn=DXVOc@&K?lmnb8v zS-I9z#cLI7RMeKy!|m0%@>Qio1_Cdl+W`?OTIakRec2TOK;Y>tC~J_h_Y3PpBsdu@ z!;pkx0g=UmZetMx66He0fYK4(O3>t7Xjr%mfe3C$N;X8d3jp~Bu~CMTUa&CJjKJ7- zgA(e)Xw67MsSN_aB%{FwF9WUuN!Rhm1dv0)ZjPuOVk32G%O@S=bkF|yF8OJJ|hNqxZ`R+@xxH@ZR1Cg`Vl)hm z0(3T@7KE6fKD~jJgATS@AIBxast*33?nGdcGUlt54z}zBJQKGUi@pkB6?KzlfmkSG z9l5E84`C6_tUz_4f~TLl?l~j@16wr6MTiOk7P!_IU(p1T7BKWveLiCt* zvZ7Q61dh)yDV!8y>R8r-K{iA~7r0a0NV}j0a89V&GFt#NAvj` zRY>H#hiIsT5lc1FJUlouMTM-N0MFKv_{2-4!CS^}YMpf%+-R6(p@cd`eYgc8nd(yL zYvHPB7ULJdxyN-#@oH%%Pyh#CA?}4cAU~Wy{(cjWzU|?EX=F`UBVoo&CtynRzh!ZN{Cla z+v*z>LEA)d8pJCqh~XeyKkUY#Jopgb+RWO@WGNMp{>L^xq=K z*~rJ=w;=o+ip%gF1{A`;i~M1`-#HzWR_4;Bg6Ize3(mNpkObaqs2Oa4jtBDIBU+?- z$aT$;PuQSZpuoTzj69R5UOEOJ@FlXrt%7=`(AK|;r%zPN0NlQsQQ&$IrItXJbGJJsWGIM)VTFfGEg|b@WY7v2R}Qe zj!M!7xZ=v$LzDF|!$3}*V;o$Uz@Wue@s|$4%(gLdJ-$G25yBexl{4H;Yy$d|!;x_x zgdb~f@>f73Ug|mp0_;R4;|}<3#OjIAn*^DKS7VE`apZ+Weu5m^Gdoh?mzzNVsh3&E z(Ckmoom%oaDZ@QFh{$CMgvfPb>m@jHjO&bC$WjEi>fkHj+Q4hm1oJmy5&yH$#C0k> z7Q8LUE*$xNWYUTy*F_Fpn!};A3hhb9lIwX1H2{x2Jm=pIcJQ+2Qn?4800x*y;q5SMbeDAEG*;hHyg=I{`oij|PonSjYFk!47zgpB$B7b&9-g3MT1D zRpFVTszGQ6V0%F-JI@>ZKzr9jVd`A<`Y7VCAL5 zA`Hb#<>Hh6{ikp4$9)irT+p&Veutg>kx4)O`s02A^Z+)2$Ym0QpOt^F=EoxcaHpep zZ)AS_>|*AZzlE$6)8; z&+GrwQ$OE7H)qbz5B%*LjJzKPL&DFloBYtPmPSDAj10A(Y{9Ebe)8=d&^YNx)r@5f91Z0EoJ;-E+TvRgj?(69G*7j)nMYk%)}b1AH?zp}BgUFyU? z>uU3E{q^Deiwpd37yhA1ert+=iTqbO8nR@=&zk!e&HCek|MB*XR2YT@9T|5&)&h2p zeF{t9e`WgzHU4kv!cf&8mJO)(PiuJWzJK}Y*Wcd%2UY&xc^H~)AroohzyJSfJHDTA zNqzX~p$t*#h#@Q$OGTe?&_AVOjp!Dtz&a|GCNRpOVp_eIr^?WL5rI zh1+8O@2|=KZ42Blv?!$QjbC4n|A~qHzfG0Mx^6L zEIU8%yQl8ve$kY_dfjb>(X`0xSe1n+QRK$*gGUX7^Qhak8w2RgnN}j6&;t&8eA% zz!%b0WIZCHYL!@qN#0aQ@}$yqEupmX4Zb>-StzO9E~g|^w|s2GDiT|@CF}kj&_6C% zvJ*dG2nUfA#rv3ma7@S*4f&ctguxh3sdO~aj1$2^0dAhniXE)%#=@#fIwfg=k&aec zxlE-mOIC74-ZLPQ-D0*VY*1@@Tqm@qgTcO>v_~#k-4hrc%QN7k5Lr|%P=Q!+HK7m6 zbOdq7L9&r%kr#pwNg+LuUdShSl(U0-h3A79Jg2^(!ZeZ54whsCpd)q3L|PH!f)uI* z7f`@kn#f|VE&^O@sjNZ#P9RCjRxg!dgh{3O<`@$YV2LIcSV#c54->=*~COK)!9-L1)r5!3tsvBOnGzzX}rIu%xGCUO>eWj_`%fK5JFqe3hr$JN&1)j4}K6U&-Hrh_*us93Br7jq3HW|Mxl&3*J zDve*slC)bC4wh{sT44Jy#{p@YJkP%eq)6V=PS;C#+<}OV&|V^4W{S zd<#FnYp#&#pvrXeMxReynKifG$3ERPzoNR7w2g{l6;*SDdaHG^Uv16&c%xV`mbY`2 zO|K#y9BWy@M_ZIafhx=-G_=$81X(DVbxnclCerdc!?)04m0&`p3boE5g`9v%R&zIz zr$%E=i*Q8J1yQ%4=vH<~Y_KyR zuVOhx2N+(MgcvWxDWp;Xz&#^1Y$cYVH}*g#(t6E<3A~RBkv;W@HdETZ@n4Hr*+A(z zf;m8Q{B)UTT@KFme=_wha8cBI|M<){^{gF#&$BZO>qvwI$D=#5voOoW z1tKH!Bp?>vBGig5r*>w)y9~IpLS*FQQ9(2+l{AmX)9DmTtI`!UE4!Rim=&iRaZy3B zexJ4H_y0e=Uhv9gXLfe3@6YG+zLaaOJ{gl{E2ch>NLF$#u8dDl1->k*LcMfjHpf7o zs;mc)A8!n@G@Gx2-jULYHhm~a|7jQOHoqTCB{ry4x3DiH(2F^Ut+ zH(_!ICBTWGvW)Zs?t#^?hNLPP<+k&bFAHLKLo}L(Tr4N>EjyfqC7V`vD-CcNDhoZvRD!Fs!_e#BrZ(LvKAQW~huR(xQzfbF;LkiK_@29)@Fqu76 zoz+-MSx-}KLJ?U+J32|;TWQFsu2V>PrBwAaKN?3@Xn9sjvZ~_TM2DdE^A68YCqCz4 zxx%)>J)#MAd0mSsXRubC##1S9mDkA|n5agbZM=_J#b=u#jf~k-t#8hLW9YwpFnVMy z1V+g|Kd=E*CyG%UILv9QV+ok0i4X{uCCvf;m(51_j5eEU%r#1uASno4`fi2YKks=H zGPo$6290-Yj45zdoZ(Ckynyl{2?r}GQQ$C$=79TuHm?AY0mgHUhEU8z+|eyMjWs^h zN*7B%uj>DqV`3*dk-({C{R{X}opQ0QWetHmHW0##1lGah*63OF1wni(r|=MeM6c(o z-YsC4WG{VH)o`yiy|A9?PHu=4GWF$Lrqo%WFpX@Tnq9txqXO-;kl)Sc>rJ6<8 z)f$!8q^-7)fF~IKJ`XFVow$Vq(t!;Olzar|GsvvMM_^7H8<#VfD#Fy&nhb7YnC3KK z8U;3@m=Ghoa8G3BJ6mYd#A*hKEtYs+rf9;2{4z}dGviVo`Dug9BP-5srFWA~ca$+5 zrsVU*Sz8gNeS6@FStC1-0+?_o7*~ z|51`kE~G3aBHXa0vPu_WHTYmlMDTEhOT#J}3#=IH1F4SLNWrZav&e)MPtajDp5Ivp zA%htFrNms8zzcZr206fk_V$O4@$iZLOynruWYlKm>qU@{XR<(n~p zOlcz4fp(LP!0J%_UHn!7;~H<^7%Tp6i;_Cxncy*rmqf`$F^m!UqN0G34ZW27GO+hC z&aDxciv<|!z8g6W&YR1NL%kZ%$8r>v+08YC*fiN`;5k(iw8YyNGj5e4PJumwV8Ml6 zt|gu`a2RLLv;o~I^-B&Hc3XKSARFagCUAr*=~EfZM9CbEIYgts3SpMkQWnEzGim&1 zS1^fsu7xxa@dDKqXO-{=X{wL)X$A*HEBQAUMg^1JO0iDZQMup>u~ilo-odvia1YRD z)2xNCs&JOWlhRQQCuHFQ`VR`kwcVLe?&)(Nb7hNpu#!97K~+jAZhswYLWWc#o9pwV zN`oBG&oq}x)MoO_D!f=e)x9dpHi%3z#L`B2UU22%6tmJv-3(*Wg$=<+Fmu=#=W4N zZ%~^?+PXjZucG)o)ojhA%`J-OxI-??k{uk9Ht^Iub~hy^$m6t9nJko@kc)({Eh3nM zn%h>XijAAZ|2)1av0WZe>*R&zWM;V}i-D@B+l(qjOq(0qcOBer}`!#no{?2Uc=zMxs;Nl;X!q$_n*Tx+u-CbO*E3Wg- z{UZW+>*rsvU9cTlbLoMyFuI&*t96grHs!lVDP~Vy?nmVEXe34bR!pM3{3cMsCA94R)Wz<>;JSTaJ6;AFI8df za=G5qJ!rph;^L<07ki`+$}X;2_v?iXcYMH%msGFF9d*z4Zg^_B6FI1b)9)> zjkl}*juJW0O$N?%l{6jLkheFmtZDDP-Qn(u?cIM5rm#C(dm8_gKk;dPxa;HYsbw|c z;J7dpRxh4A{C;ajaJ*^3!2T(H``(Fz_YOGN`t18x7D*{`typr^}vUbwhS`L^22pY1-9Kc1gIaACYZAuLQT zcx`=KhJ6t1ocenjKYa004s)*(4qg&!!f5i-$6jwuJKnQ@q&+{p{~ybyK3&l8`+>WS zwXV};P(+`DEkd{N-ET8g_j{P9-Qieo2xOKMIYv zKmOrwHmZ?b2h>Z4UVk1vd!qlgf@HTytq zklzeyg9i@A{x2Pb2FudD3q}%bAR2I`dxg4tzI*JU6%e-VfyEwOF~Mzv_oX)1l4rl0 zC|&*I!P@xorc{tXzY2>^ZigJU$G^50bZGdyzb~E2K1Y)xDcn&7D%ngK6v~jLR!`w>e7zbYV7U!$gCncjW|QYjrctpF zlmONUT`Ak2poWA@#sQo&#|9qM%RE$e;1EWMD(i2PHuLtJgk}if#d+i?MYi1j$u~b! zYu=?5s)0_dR!syW7DHe)=d6}ZnPot~HyZ;6E13-hf5CZkJc9_QGQB~rKvb!(jLTK} zXlJx)XJLh=sLpOa!3dlDwH zAtz@j&UsLQ!Y@#bic$G)kyRd)bkW>}LauaN(j~ zUg~$teROZxgSLM0E&4f{{uV^V@=Q^2^*OYq&v1n^tCWn)2;ACn(IV72v{Hn?rzSU8 z-c>9m)KqG@iKJA58jqk7;gmK4Rop3Unq#7DJ%3AT1;8=|a2^%Jx#v#MHc&-Wf@e(= zCkv1_%K9jzsmd{)ED@q4P!V&hRhG&XBAl7d>7pDG`ULT~rgEn9O# zXK;CFKf6gZ*UPA$n(pp`l-pa^?dX(7LT8cTA{eN;qKrr6WK=38{vc|f$(78uBh1~D zeFG(z)9qU%&tX|T!;=#db0Ix(3EFp7BBd@ZBQiv`qd94+s1FEd1h!ugn;HMRP5VaM z*ZVZOKMwe!=^}6s!9htRX}_pxvO@N=EX1?%64$~eF+uQ<{Q%fzA%p2A%zv0NNV17&52W5VrfDY6g> z79xP3%%9@B-5_M;5{SHDg`z&5LZ=s+cb25fmP$!j{VLfiC-F(zvcqEjP}T1f8Pj-Y zB9~_0;?VBn+dIE!^V^lcjxKokZ|$6gy4l7-k}6YNjT?0Vzpty&&Z?kfR+{GP>)3Ab zq&;;6>}WXu{8XK3K%yS14%IMwLh-H29U9(9^m6Gk=E>yZsFvHO)u2 zSPOA&QI$5QyMRTOKD33JjW$!B={oWX=MgFApe!s;k2@ih+xqzca&&0EHYQ>E?heUr ztWdt>x6_(OrH&~EmVZA{Qu7(%TgERS_a@j8{ui3SigVjXT(mZ?pw#I#ez8q=X# z)hzAHZZQ)zNGTHX?c`YzDN2&YrxtwgV44Q{7h2kq0JUB_OQq;QP$W!4;OW`bZ_iXr2rR^2WMl)R?m`|ywSdh=FEauy zF9H`@CuO|t1dCbmN|xgx^s1aNE#>5pxr*HaNx%uq!yGA1MvZvkTuli|ypik`%w6&v zq?46Jh+-K8eb;J%3Gjju0n=8tM5EYj9a<(go5oANaYiIw=AFDm!_l3~LxYq9B{tR7 z%V9i&Q7=;*lhXt-WhU0hSD)YsO&74nX+EY8I(Om_;zdJ{INqhOr%K~)q@YF0weTWV z#8-&eZV@VL&SFrZ=nxEyXo(2Ec3$L}LX`&R2^FHEU9dkaVmFnq%aHI0%-)ckqvRh> z`A;9Gsc=`}pnpv5CM~LF$ms>?tV&o6y^7!~Rd*){Yak*NBmrV?K3LGI5c}!Fm3+;B zRl^=7gpISF=A10Sx=MKGePW>>GQ?;z!#r1(3ZiK$P~NnxGSG<6b_C0X1|Dibrcvqk zC$z~X3Acl~ykDQKyzpVuC;7rry>gxGH}WdeB_Gflg8j4gPI_Q&L7N&hGD z20q(u;N0gW+;+A-%2*+S#_l($43)$Q3HNn0birTE-I>eUQAUfto#U+MrNcs7VL;Aq zbKQf2-*A6XTII$ly`>q9d%6qp6!fz6+zvs3yfqJ(Xl#~5ghJUr$SljU~ zCB4?V>PzmthgM0TIc>YJZMra<;}Z^*K2&v17-w>N)Uid`-odeF`5?!Uage z8tnS|yU8`x-r(8(aRvSBG4IfJEhQTM`eOIN1AQ4?mwr0Yvo!7laxA%IvH48a5%CrC zNEV;6GReG%PNFkhgM*`d<%~NY;pU&u8h>1gJHnmkA{>*pF(YtS_Y!7U9Z6W|tsHx8 z%_8o}*V57srXI|E*8j$f-&;q!FAcvry3XO=gvR!A_f*x2Q%_FS+Ao&Z52MNa9ku@+ zzmOWtS38Aw&iD9jrShzt zD_MtXyr`-WeZw5Qz~c6=^PF8$%9!~fc_t7q&;t9{5Z-ky-**a@Z4R6gjdFRiQj z<@1+1?_W3Y!<6~8fxUlvd-U!6jQbuwk$rylyr-<+%sWF}yn{+%)2UkNaMsv-GwiSI ztVP+i+}96{C1<70&7dwnUULgCr0!v%Bum&34%981SVr}9jN~NNMqXL;>#G}jUK%^H zub?wy!PwuLc6Gke`f2{<`88pfGr9kgeD$_VJ?lp%u4JHzWoJ?jg!fOFKmUGTM%v}k ztk(~xsTsm}UqaaaW5eX4@r;v~6gf2Rc-VXV)%1zJB?Idw&1b@ab)n7c!p-BiXg_E1 z>6OpBmWX>ImEXD&A9h^Gd1N+NA!UOVQl4wpfsV{s!+!={aQEVq9f!bO6%c;X={={J zCl~c!PgM9=Znnj88eCUKvYN)e-g$t3_Cyg{d{Ui!nBH;i$;8Bk%XTbI`h3O@uU(!^ zU$-S+&!NB-JyaQ>{|MAp&U8ZxS`W`WB1D&^^^o`l6> zYw39rA{2UtN86t5NgX}@-;4K+?x$|s8(wqStH5$-;_$Oi1tvR>){@u0dmYjm-rfnh z4e!4WF%EC1dKX;#F5P?k^}^xWo2gJZT>WXy)%y!!VF+MY`|DGoj5V*Dr@s4FtvWT- zoT2{m1C%MhH2#w>?4x?KT<5EBM<(?kH6bccJ>)=#d~4qxC?3FSl~NsZ`E)Z{SC=4A zS1O@?@Kc=}o7$?qqoED@7b6IczJ8Og}|J!b@N8sF3hO0=zl+`m|@myDq3 z%_qMT+gfv}9P~3sI~LKw4GIrEFi*D5zR<{Yvu{nPGM}L^WjZ#Ky2&L`t+dQ%x^7TF zOF@FExoBiMx|)pE8`w0J(=hD*UAs{iyR?RH6c5X{OG!Gk?3cFk8Tuh48?eocnB1*X z9Zaw$;nu-D1vf}a+sg`_r6!NaoaUzF`a505r=z0D_=?;vL0iyJ!2E0lA5z?@Y@$e- zW!lWMb&cAitW;9eCcHt|og?-kMbA(tHe@6q0qr$$vJ<)xBvm+!P0I<-Ae7F+Yu{T*odyc+*hyg=OQEH z;|C8fNJ?&l$zKh6P&vb}?)Je#ZbxocUa|VsS<5*aY>4^T~Vk9C6F7<OKVCg^ArHN8RzE9YP6l$)snrrpZ7JBqf= zX`&^Jc0#`xey~OZ672?wXH5vgqW}x|4e{W8sd zdiN{;h0WnrQ0zH%90N6&-vByr#^5rT1fv!L-?RoBC^lRfTo!{j{#W`4;1NE`JN4ax*9QG1Xcw-dHcypgD}9pd_hgi~ob)F_tPG zokCKdL-wgOfo2kZgHYsl#OYQ^F(_T3?$wq<6elS!1{7th&>v>4ZL*(bZl)Y?n4E@I zwi+eMMqgpSo!Vov9sUzxBccFlVa3iU zTucDjF*yRS!{8R;J~U4x8^l)@je$eqGxnZ_EH!9vb7nY_#R{!fxI@&9vDhvd4)%jl zBWyvVz}LvmP&u5kO)9pHC`N_CA-V<3bS!`c>Gpmd#v!^U{Ji!97;*q%OEie~GeRrH z&qK6<#WriX2EJEf1E6TH0{BK%u~q^&DOkV&VACqM0=|VVZ-9~xbA;8nHcJ*|cavfe zHC~s|o*SWS;lxE=3vkA4%xO?&NE#+Kv4oGXaqCzL!O>~16CwI&LPi=){+=2cUa(F z2S4S!J4aVpQ55Zj%Br(vf4MAbQO(`OY8C?7b)%3>V5gFeG*1QOn?;)>5}HYnpeZh! zD38IZDT*OAs(B>c*o`Sj3`OLMZY&or*g!YmRUCyHCKNDA5B3@N$egf_RS{O$_vFfF zIjh0MJjbenNd}7o$;ds(12B(GMnLf=*l5CYzEfkWkt}tIMWL+{hD8fyBNUG}fdo>+PDe4?cs45+(?;@+A9(9$)m#~RZ?z!A3@9R^XFj|l;oPh-seWD|1 zRMlc#!*~nfGX`k-CK$coB6vw)VU9<{k_W6!t;r9S(2}Wzdu9ruXT}jKvK5I%D`^`^ zZgSPlTNUlZSP>=-T;JwYNvrP6mC8^93RLNAz^L}mepe4^W&NyQV1zY86%J3Ay@KD2 zlJ}#+E;)2sD_4+*cyrU{-|<2NOS|vrep<@nI$&=sWmZy$#ZoyUZxz;Q->`f{a>y4h z9Ol|OS9NQ)2^;^OoF=i(dK#g&!aRq}!#?g58XVS55`C{Mf325G>vh)=z6K%DRt~A0 zok|nWe*Hw|Kr(CCqUe@=>2$nqRIuTpiX zoqAv#1@lk=e6NC*f0qYEY<9F!FH@q&9e4ATX0DbMbId#t*`L!C0i{WL7zt-4ZoJk0 zp3S@J^esypcOBl~xRkp0du|0&?igFdc~RNL#Z>8uYw7mny8dgf*FDe|Uo)}-6 zftKoFu8Qx`rfiOZ;}>@vzWQv2>&J#`{Cz!oz4XM1_ocjOXkzJ(ua_&{q47{KnHdsB zyY{7NtB#a?yXMNqw$C~|Q>Z^~1zsYhGL^IT@3l@goym)TIFP{c}VaorN zGqCyBb=1m#Z8&r7jQh6uzaBY$)t-FEhVZqFEsqQpXoaJ;Re#;|F?B1uc*9Zmna{`X zXAcI~3wtkBS2d3h1U5<1`P_&3sRz*NU#~1mq8II`bzOTkx2XH%yS3Hrg@Gp$hiWFx z`nTPAHOF^EpYQex7y1tK|LQxJI|-IX(in|qw>|%F&&B#^W%K}zynkBcl>(%>S5~jqiaWcwwR}NFn!5;OW`4g=R z!o!zeyE5#*w5~8PwY+|y=kmn1w2NuK_c|_Y`FG&zL{50of``{VX8W+`GU*B|+y4CM zimcK78PC4hqm1t3YpXW!W7g{XPaH_wb>`A~7-Nhpvj_S{n_f(R;K!N+OT4rHq%3Rd z>D;jH($JEDgOgcDVyOuu^wEsQ(GxYp`{6`B8EuFbwS9YFV5q(C+o5JDyubbJo}Whd z-*<9iqO%hc=O-mDO@yq4(Dkf^x$=tr;>7h-z$P|P85RQseZpJ@reb|$n)x!e}Aij{ZRPWZAGxk75ndy zf6HZ%z*4CGaabdIVnJK@*D{Ez*wLY=0h*q2B5*u zd5>LtwQ5E8B!n%mt1I~KfjaNd10zLxM(yMsdd~w>=19+XQ`GfegTpWWVt%h@=n~Wg zFO8ySd+ejfx4-e@C_3}k9}ix-eEi_UUqmNf{pi=@6P=d;*?)H_1K@pI+Tg(ZSC{s$ zP~Dt#hwjMYZMo3dq*v98=gbhPV=7hc_0$Cc=bc#!fP0&{pJN}S>ct7O*nn6G+W)~G z5hz^H_LZ;q{%g3{Y#?}+q8TmAyqRBpECKLGBeaA9%inSkVD?C}IlQcz+Qf*W^1kVIj(8avE&A;Y7U21FnkEbV z8t-dI3Jb3n;)MVxa20F1&|z%lb>F8`i?+n8m1blm@fpZ9sBVx9yIFP@SiZmPkv)c8 zz+j9q@Y+eMMrKlSF`Gx8l5jAuNM{Z{p9(4v5~dQpG-vA05u2oA+la6z8Ih8*xl-@d zwkW<0kacC9*3@4EbWcv^EOuHL(ZM(Ai#3a$U>dn?RErv?9v3PCkU4uKp;VweZT3X^ z9HKuF2rwpK+XOqvCw{QsXHezQt2m7fLdL8$ldK%eYs2@^}Fr5+Z zZxml8M7C9_Xo-?UDSV#W+x#B^d1HwYyGzaP)to$A3Tj`l4jL3H{c|YAXOw+793(ci zLj<7TDr3D8WTom1R1lpOBLEOe%O%*L!8!EZq6oGW+Jev%6l~Q9^GOEz_pDF4kPI}r zJk3PuII~3H1*{McaClb*iwQ0`j5muR1STp{no7Aiq+|&(WdHL+7ftjx+MtYg++xeu z3G79+TGMlLXs!`4)74w44mCps6Py&?)J`{LBFdAcQ^->-ZPn7dyR_<4TJpP6V@J9t zr#!7&+1$?9eh6y3oZZLtWi%S|*&!4+E?0hVFIQ2L706<2EJ8P9?OO#$j)G=VbbmSH zrr-&Ns}yD5k9TyKu63wWLF%@o9nfE&us zfpH(-DpQ!^G6=w#lDIjvAV@A~ev(#7uVzigt&9bTjd;XD*t0aGNs6lbyZT<{r)gh? zaNuUrdKY5tj4(YkT^Q)fEJE({fg6!ZJ40l-q<=}G7T|Ky$}_0H4BJcw444cKlNjSO znh6oO3CLtLX`*oRN!Cnwl9het)kXxBauTg3qiN3OD-8k6bcRWeKu^_!%YYV{DimYo zh>+Qif#Hh}_&GQFq2suf-kM;6GLMnd7_ewyC3G0dFAYeoYSHHoLYq>~{7B}(WSx>#22c!lVrjL_S~fFZ<0DU-no+*t;~m5o%2N1;5#woO^a21!nv z$q4V_RK-`+J$~##CMu~*DAhEbs_vx&QO0*x;kytaUb z#n2v^L&;x45LhV!Tc}b=mo(I5T=<8D_UOz2J;-jNZlDpZoA^u-x%p~3a%XO-=xpb~ zk<*EoR=UtgMPwNYDGfNmuouA2$?$Rl!OJ2>@d1PWGV_+UTeXeeRWe6L50)FvT1ivW zv>caG$V`LM4l7_}Uk+3hK=XllgNQJ$0{p009Nc@r`3f2Yec<-V@gY1~04zg0j8gIh zYu`WPeg_FPgcG&oAE!I$n41*B@)6;|Qz5xTF?7Wags4P#UkQ*^qr3&T@y4K*i9+C$ z1(v^Jp)TeclMNwBnRsnE%~Z=}DxJ({%QIM~(H&99c=|LQ?>VlyR8ppln3)&Lco{5c z_?Ytu1~qO#uZ%F}b|hns{W_=mDOLp&5au(!a%DQiBIolZiNE!i5wn2Z5KM;@C{u1Qdr38IshWkG9Uu;>T3 zkmhH|TctEHxsu&pmWvYpOqb;L1e>CW@2ktys19(0Y;UZ}S4aWgWG&=6-f|6L0^lbB(m(m77d~1ELL0MLwVdWBjy}#WmNRGlS={kg&q$nD1T@|y|YOHJB&^CTj zV>0YjY0dh;nlbs|g52cK`zN;VY&^YhY$qB%e@^=(bx^;W-aNo9%$qmn&imr@hk=qq z_h-+l`*7n(u;Z0@n~{cXyE(L znoIP+M9#wZ7KYD{>+usZ01mU#F?X$VksLc))Q$0yG1{E^wB z6v$65OwZmwb5twLtE^@3=3YuoVSBzB34S!}9^M{RlvsVPhnbQ1=5*>bKs`c$~)W9$+Oqt|S7C|3MWsI6w=rj;UGI5e($ngCp%Ff-=F@QF;lwNF-|q=LER^fdzp%M6 zLwx5L1ORUX^QH;mSb@+y5qEawhgla36TQzIVLqJuAvdyA-Zi*s*0qUaUTLN1CCAC~ zlaUAFZ@JC;@zVEJl#Q=SSzrAmJKk#N&d&3KxTQY>Y)~wb=6Tk=BzCYn| zb2Ue7!La?m1x>;Ds> z2ADnsQESVm7IYOwu7iIXvJqxnLow`sJ(2C}oz}Wl5U&h~eq4qkq5n7R57wih@Ugqr zH)pv=BMS!5_*)4)q^%`98w+hNgwSSfY5~8#|9N%jEAh7b3c(LFeDdN9F?<|&LGL{D zR0(j$yvP3X*j02-SR6aPY}YfR(b5^)etP)hZQk0kO5pcg-RSYUu2PlZuO=xm{3bN` z2mDvfm0|x?C>!9{+?VFA_+ji(2hf5%Lu(+-VeJ^;|CNt^Hj&+yL5}C_1a45y4qy{K z@mg)mczX`;g4!2)bNPO@EB^{6&~rj^l?LY?HJIB3MTK-UvJA`r(WBUHW+^A zZ`3dQ_d|Do~jAE1@HyIO@98D6e>T_Is zMH2(4SAz6MwLeSND)dvqp}UF>X-tdAs`k?b#A&4(`i~wGca<=A5GQHM72y#)84)mO zSyMKRC=;OqB_Ek|JlLvR_&zF)Z89=`tI}1~E2NdL)GMVXqaOI=;vc@BNgsB|C6rWn z;V=$}(2yoNNSvIPEg&+pH2HKQOVA9efc5uM?!p^*;3OA=6LvCL!&bEQQd>1S^%JUG z3bj&q(?T|yd8Z^J7AEcbuazFd9Kk9onG}}l>STQ_vR#}O5JjM9ngyAgRxC8eM>Tj( z1`Q0dP1mdj8Ddp8&=7j-Gzcgt4MlM$(OMt@sh1%HdhUO{B-zeoSCfGbK7*{2j0xbr z$J`D%6lh+J)Y91IGUrH1j4Owv%NaE}S0~T%;xc}wSmkQNY#wdjY-;&y3A<_*&FC78!=*N6UXalPn z@V%g0T8L|6klLghZPO(krT{)1#A~w-=OiaqOFpOxU^2nZ0DNXK$W|OV4L*t%0Pah< z0?t;roE$L7F-q_N)-ryH1l?c(;z#VY>rj8TxkB75`iRY}IS8H53a&{!D7?q?s-xi9?oi(!B=a6!QCOC(T?RFwTx(OWx z7BFUmbnyQ`7SWIz=~Jjq7W3zDFt2cG!c9Jp@wRlV)IumQaeudl6|sbDAvV)cUe>CR zNKsvUI#W0u_E|LyX26KQvt0JeZ#Nj|!r_r# zTE%#iz>dDU0+YAqONX3ro`W*sgT*UZVn;tkL{AUsCVN$}<> ziFMz|Gpx+O*WawlLP&2hrD7(7?X0N1@Azh-2;IFoPJnHk_AO39Bd0ieV%of)7XduP;SI6pvj{n z%2LEfY0ESa&{;Z3m{15j7$NFTVvk5O1`GiVN179Y0;5i14&*YcKmT;aG#%tGCY&WP z=d{Qv9!FoY?X<%vVmuVT7-=HzpbZc&xF1)|)3<`4zz7AE;Y}kWvJT;H)C=q%x$m7U zjAm)%6j?EgcF4eSR}c>uPO%2<1B`MoL?U^kPGc6<7*hN6-Emkz5D)V(EC>J=SFu8a z-N^Yy9@)k72olzvg|u$KI-GFOeYi=$eWIKV8^dD3e&|JDx)WksQbefFoJJ0$#scUc zFs%36rxQ!zd~L*45rV{NXK4VAHs;KxtT|4Igp-_7cQ^V=1cm9u;$%lNAuv z0ZIqVPVE`lmxz(Y9q|HDtWpmqlu1s)CzH%{nD)Ss5N3QSo)kFLtkWRERLBv5kF*$5 z#1s+9DvPONY%D|-C1CB=B{&u+VQ4stdI{SVpAZi-5oHsTU7FTdp{IyG_OMxHJG6F3 z2g!>bqvGU8T4@#~BrwJ~^OL((OCQAq%0r^lxOX|c->SlxQdHHIBhS5`?JgDK6Tmny zdTV0*kvI(zXfHXPS1|bMUbY4K!I!Z*Sw2GHDoL^{OVxNQ?lKlpd2Es9KLj4PTN0DY z;3;M{0wJxLkthK_)s;z$ltmn>yuB0!$bNFY%&2Yremswjh$8mPa&gv$h=R&v`|=27 z0Og3AD&tGk-k@BKS;%sox?eoNq^OPRTaXDZ=CR;G8ltZlIyrP;VdEfM z%CDvDgW~y>IVbIP=;!4^2dit z?nFh2f4P{Nx?lsnyma2O+uf(prov~GH1YW8QaZ)qnAou+td+dTFQPpkB6<3)YJPT16)cVAp)GJp|p7lmDq z)6DXB-yP4DyvZZ!**!0Q)iDGM97xC;S&{i45I($V!CCr9LbUR$NM$Ue82#^zVrw0^ z@&CWB`KL4(>@QlB2)OySEx*0%VkAKWFdTQCf3HJx{jHui&%xVvEJ^~{3=zfuv$D4m z)LB10O4Y;K{=bg-9u?lS@UD5kCW&v1LQ3(UZ|xyJ`sZkp_|L@y5DK=)``T~mUf%Q- z$LhRO9kafOUikiEQ7Gg7KixHzwXOE^guP;_IzTkDh$qTM82Gp4Gq}OAME&Bf#8xTgk%?IZ{5`Ena z9rFbrJ@Q`0%E=_T=c~W(gvDN}93K38(x$`G9gTeaIeugEkW`||x2f{ny2{UiNSs`v zxXE+ooCL1`f4;GZLPgg(GwYyB40&IL8Gx$UEqvwH6IO7`hNsB&cZlicFRSV(B|Bl( z382*n=ram+(`rZ-6UcM?apC%V+(T7jzpy6~3Pu%B_BwCwWn`z}trC?{i^ULA8j1RF zF#m=24>cznh}TBSMihdC+|Do>Zo!)w(JA0^u_nS@p0b}KM=$*g?)eH;+=MxK(!d0w zr0OhD;_H#27@WoPMIIioOpXi>7Cj5HU4o3EBN*ddFb#?dqy1_XG~8UJwsXvyD6ujr zwY%CxM;%OZlj%mP&+Sx6P>Yhq5CBA;qOxf#&N3>=%JD2wRAS$xOHvJMc}QyE9YnB8 z1+m}~fJ2z)f+|X70>vXf{Hn1D9yhAQSR@{=AS*N4w;Xg}&gzTPp1`9sm>MvQ}OpXH%q^ zG+6Ouon#?KX?Y9RHAmSac8d?%IvClWiFT{3I}UozQ99ID+Dm5$q9M>pt%b=oWkH#m?P73h8gl4r5&>{hTZkP=?vf(*eW0oV5&(5t9TOuPyAU($AROb zTk$4bvlv~#$We_ULDO+F;eeV7M{_7aR3YFCOuYqmHzPO%kT`N?og%V+{{E4zGGZ*N zSXpl%Nz9VT?QZ8VAMvGX;1h%!1q+J<5^BSgY(Kpwrtan!6budPl0|cbH}&8Q^YI zDV7cuyZ1g!1vm4pp{**$=z=Q1x7<$nZ`PD1RW+tg>jos%if!%o%_MXmA!)D)b2LgY z8!;aTM**{(DNmg?N5Q`22?6sNl+Ezilyp$N;-o%8UK# z8A@lY3kcLNOy6~E8de@-1S!60xv)ke*QjBdsgec$1>=L)V^9cKEv*(PB03mM2grxu zM+JJ2^z==TW{oH%m0&FS)kvazM1C8PIp_*T`!l7_5iNosFe(IcldvAW(a2h?h6Fo^ z1$_F=K3>-OxTQ=8GLHPggt7Qk9jJ zX0svFlndcjT_(caD!r_UH_g$iE2}GIE3sOF*JJPJla#n71v&!pS!Mv~Pbk~mmux)ClfpinSUH)6NKEQ*+e07JTl z^uE$K23PDVu@%k?(Zt-SnQ$ly^{st+JP0Yk;sSHg-K-*2@H&Y}_Gw@jW1)DXsJY;< zZPk2iTWt6v{`#7ml?`wu=s?C2z`cNV8&TG?> zQ9nI9ijqG>AJV`V5|uo`rEoPl!5iW~kj_!(B(#BCyM@;s=Om_7 zDpqDki>1{qvMUHh81Jg=ZmQ-C($*kiTc|NC_{zsscj>nx*l*R+i1`ASMP>BW&AOg3OKz`ZU>@j*KsE$)&HGl6d zyE>lsNO^S0V*T?^me;Q()BZN=E0L|X>FNR^6$z+cO7b-Ecx@6C4gRkzxa*Q=Qb6MxYfna)iu5L?m2$EY+HusfZp8c zP0g~UJ(qOEHa{;-zqf7O5%ZyKS2rvOpU84}j zWf^-b3295^N=f`Y*;{z_v+?|<_7&bSD*lbM|b}7rKsjD-1}Tg za*d#P}`D@)`mxx90>n1HFNoApWGksl`qIU z5Ns!UL>oVIbj6W+Jxj|F&Q%UoPHrQgdT$aI*;dTvdN??cKG?RP58dDSw~;Fdqe`ke8i2yR_%6 zk-ndbni_;2eYEq%bo-m0N2Eipi!+x!`%La3@vM7XY#8WzqIpV#sNJh{>#v_($@lK+ zzc};rym;4H*i_)X=LwILFBX2xe4_Dj|A^)yn(7Buy|c!fW>V)A!@a>p0&MdF^w|DfCtE(kGEXzU02 z!!v=eeI4Zs@ny{*xqec=TIe>e$9bM0LjkTnI_x0i}m!9U--A6m5oAmO~W6H;uieUZLm;Wck) z){_t28B4JRCicW#z{H*~AMaT+8RL0OP=muYSIm*&8lZj;um0`V@$J9;9M5hjKi%~p+wRcXXZUg3bY7jmzJtjGAhD57tug88h+dwkID)_rZTDEH)F-oR04!*sYGZ>Cl=IPqE7xy_oG4w*dw!)c?qq6zUZI zGsv$rOSfXlp_&kG=OFMNDe&O(Ji!=DeY$1ht-6={jF>|9_A$M@#`?~b>!1s&p!pLX zaEpnQ=n%ecik@WLI0;>qM&O)c3gyr{couUB{ULZ-$hn=2YFCVj=PKvj9xA1CRa_xw zs%L3Rx=Bp_jv}07j(AGO?eUpnvSChcp%#xP8gcVs#Q?b4MF159lu5CPuAn*%P6F@?iK<0LfcP5%YeiP* z?og@*ywXEDfh@ez3HM?xy~1;_GP9zakg0QC3Uj~ z6WyBZc6i(aFg;sK2EIy{Y?mFu_G_-P}O z#8Rb+rGU91DMxCW3|#JwPGYNu$?=BWs;NpxyJ<s9 zh!hk=U7{8B{e2IvE`tUi==^;6*1}HH1xhA-H~P!o7nQZ)ubZ*piA zK%S)^{!WCD(MPZehj;!bQUGfgqG>`e5_~m)XE76blan>lA~c4CSYH=G8*-l!^Al#B zw;SNRf(9zaHZpvdsP!(`*tkwNY1O13fEo>)RvGeZVJ{-W!qPXW7J()-Stmu8fy8Qj z-7@5G85N|%x!DNeTcDflhGWm@o76*(-UJRj3~s4HTRFfd7*(VwfODLNEpZsihr@@> zBxxv6EOnsWZUdL0EMJ)y_*032olSXe!f$78~-m?Zv)swnXU~_W(Q7S zec#PYrzI_=%}k%9lQ#XOLSPCQ5O;lgg?tqRa90p(`lH7LTRbk^+lmI zLPfMv(M8vlHU=z+BDK2c(G_ZSQBiDKOM!~-9o%!y_kM+@AxzTwf3D}cuj{(ah{7vm zNX;q&DRP#B-VQ46k_hHs#A~K1k~bhU-9A0!?}T`z487!s3VTyTau!GmR&Eqj<;S}Y zn5Ms@k|1e8 zK#f@~5DYl4B88Wn1CX0jEzL@}AlYTY(ioO{d4wSrgT6?jT?be{UWZ6zl5l$;E6No` zn3jMTiQpQ%8Z0Lmf0WpAgq7+AO>6`<_VLrd6G-S>MtakTI0`sd)e)AmDVPbWSOZD; zDN;GeFeZAJ%wyy>71ZhVqSmJYpUwz|DlMs9{6M4eGD#H-A==(w^Y(99qesFpPUZIi z7DluVnAb#7;0xH^768JP8fJs@UXslErZ$1fAnMx-Ou&!I0Hr0#s_VCEV{a{ot4QDl zECX(Av?L}IJB41szJbR{+Ab>66h#b1G;9YSuh5>tMCl^ZJGfi6mdbVlbTT0cAaw-? z+iHgr!l8mp#;gM@%sZh_g5qc%5q=&BSW;(L*uY~I;IZVy3K@&1!QrXTWu1jcWijNG zBmzVoY64AB`hBF~+8?0jo|s;3DSju`n0%COT$F15N7;x1a_b zX=>6frA9@%<#|@`r~(>Zc1#Ntb3X5m4R1f~FicI*CAW!uO1e5|MQzNw!W^?Re*L8yQ0hJopEZ!DlDoh63-$U=PL?|s;$mS51cUO zx;PToZk=K^POW4r=!%fjhx!`!t8C*U2@ITSzY3!0w&IQo70K@!>o=Fqi-a5}69UtB zyfJ$LZw?n(VWwIDSekIqx>9@=y;EYWL_AGW8`w(Hp+9&QT7qlJ3_3Zyb-nZizco4e z=&pzqP>oN4b2MWM8d4(M{vJ`cv%OjBv((p!f-Eo}Nc!hh^_J`Fe&lW2GtNZE09dIF z6Sh^KbH^G+9*$3$yN0wznbN&qjozO0p68Cl=IY1L1lSAZk>b+-6rI&ROvk3PYqo}1_||7KzcFW_CL~}tLlb*S}{n~4bayl+VHiG`Sd;3Ij@|lK_wpO?2=cL>JWPGNzEd0Im z%X^pY9sc<9hmsd-U#Mf&b?^D!OFs6GpBB$c8ZEHpRE@8hxA)bNdy7i)&wLbG0S!Y- z7ys+{bMHF77|bJYt;$Oks_0+NP3&rzpVp}@kg|^d^+>a}h<<$DjZ)`cdd$JQZ@)St z9%4e!&rPT0?&mL}v98l+l(9P|0fSykV$0MVX)G9QZfGgJobl+rM|=a?SkqJAH+4Vv z&5J+L_ij6z!(4oE`0dwhH{M!RknKLEjF)g=#`Yb$DJej32Qf6 zCQ|;~d}Y(Bu{)1mo>3iXj*KN6&p>R9>(ZHliMQ6HxPX4&N@8^L#+|Pf`eHOeil=0HU+i(A?dtl6M-22?% zUk2OjR!yuc-8*(AdEVuBM)RSIY!rEa8Mu`Uw1^0~_UmpmyPhZX&+wcS}Li9?)FJNa6nnEq4GXJog-G+2i8Pn-0n8j+C3)?3?n83*^U5quQqbEZC7BGhxDa(!r4nHK=`xSp!SsORDruM(U^}2PnFb+4R+cdeXk-EjG<8?O~zUUvl``K3|y!&=|y@lPMWG#VNBW%$DV zKP|8|L&w;r?%K&EP2KnZoD%8&;qNJ6tpsp=>8(2^)7=H}5`b&=PM;7j6~u|JY>0Je zl{QkqBF92m06o`NBiRWzH{LR}hN->@o}Zwrc+aocx&<;@sBTJ!Kza6ywhDp2BaNDhtaH_0aLOfQm)cSoL2|rKWws*ZOrqeZWmPz zPCF;^l5Ob}Q`1IcS??jE@x*T!QyZ+Xji0&$^dKz)*XNvOWU&N?Qq68!dmw9&U{FFo-Ow-hQhaF_AL}1ElUZ-on>DGQ zWGns!H19p z{H0(Is|KX$mvWq^oa>eSlA;xovI1nWQBep6(iD_pK{FCH7?9h!0;kB#kNLxXQex>E z+H{0Z3Mm{g&PGLW~ zt29O{Xq8@Ov{s(=ALN_bDNTa817{KlDMR1h5 z8Wpc6IgoI`>{DkF`xOoO!Hdh96b5ovA-quVD~YPk&LXdBs9|~$X47$((tZm*=^4fZ zoj~>0`}JUxRr2X%oJ`b6uX>)=3glc~P^>y@o6sL*IF@C*WXc*co@dl4epZ!1si87s zif9#4fdpwl3q^?338P<5{znSJc2Ws2PxI?J!!&Rdnt&~j(<7{*iPpE3pgw6kL4#C9(kW~s!sGPuEdu!gLr9pK zz)-Ay2CCOP8LEqD-rTZD45&bHFbp=ck>*7~n~IR$G2yA4P`C_)C( z+pnWF`!q5cCJ+F)G1*Qp0+T5`M|M@kv86Bt;xQu$%9UfDTMU#A_-oQ|i+}-lfDAer zN=+b@#wuEj5@|GuvF8o?TsYHoh{2o?j{@(`AWVI8HvO(5pGIkwl?mi*c_t%r8MM^G zBx`WtRZ_Gau2nWrsKgcK575*M^kj0}En(iCFJ`p#`zfDv4IeRC20gva+iK>QUnNQ7g3@I}&+AV4l!h^ZUT&j=I#z65y`A1!Q!j56Au$39cNm`? zS@sT3gD6FC4Ags!rb6^K#})Pk4l~u{Oqwp2H7!wU-!+TZviama{1HKsl^M1G@8!uK z7m@eNYXaF3zE|+eWAtz%8CIF1O%&aEtC&-h$5x0-GhnhR#t%_Cj{k+4mX)-%<+*dg zU3=!YY)E-5@pWy2eEnpPv$LydqKJ>5ur@v!+`GFj;{rXoi=5rn@0wUVv-|bYrjyNW z8&_?RueLwcedMu>C`kn`HhkVV`25(lxv8Igcn)ajRnN`;%ji>gyQN8~p!uBH@_$~PW&|mC~Q}8vpkj|-G4_4Dm(qXSn{>|(s+`wn;Bu%+S;@1k!ty^ zBO6fq8OO2E*gZ3!p84vvIBM_6+2#$6pO7QBHD#;&FH&PgjkDzD_D}yZE?J*mH6wo3 zt{Jx_50Vp&Nqb)$`D4-3jeCRneS7!Rm0Zg_XnnY~pWOTEXHQKWOnv;%Kd1WMd;TA- zOX_}pdi9@c+{z^Np z=Frd8bKIUybE2W~l}u(G_5P7LgR_43r$xuqkB)9i-E16^Hzfa4`^0#$arC_=w-EFN zx1DZ!M4RBcx*H0(;y1}gU-J7>vv#Abacfiao+H{1feGojX? z;=@NL)KEtMg(sVK$WJQG?T@WGr#;B`#pN)C%8cZ_O`rX3OaJEniIe@=7xuPYo%u=g z_6wQ8{+1_S?>_X|Uw$ZjaLt5o?R%^4tNZ22*T!uU)&{=dOA7KXX=&(yZJ2>h7lJ_|n5|*W|CyJ0g6y zV*sp=S)PCK|34fagV)|)fjDsBF`@EJ<71!a_z-BMhd6-X^MK&~w?;bgCJ8>c2$p*< z2EzX(mwAp~ES&pZO#6I%o^zt_ru3PbapLsErlzc>^cV_%<^)(Dzmv<}rb@vd(EK($ zY^~1$^d6m2*{nqyK5RZ24Sl$cTk_J?ldFJnKKae+he5|Y!k+{!^1|Rtk@@80#n0xG zKVLiz5hbTD*2YctZw8L~Tw(3Jzqdz^f4cYS=!tLvSk=7sO5pM4t)qd5=8YbIEOqPXiAPemj$C?d#?~8m#FA7F z&E1-PB-7C>{EZsp+A=-w_N?)^qtnwh+N@DERc1X9l>I#1dK(L8?x0|>(H5$fDRhFw zY=GBW4AVsIuOMb7LCIRkXSX@E4YZz`p{78M-o)>Sxg;5&T2$y&%g_33Q;O~bMpq0Y z7cXK<1rAe4%siUJ;7WPRAysF%Kr22uuBK7kA^5qFL(3rc6KpXvRHNer zUoTQir&Cxddtv4O+NME8J4yADz~6DaA2%6we#pz!0W}mCQT%FH@oyFybw-l(4Uk*4 zQr!t$Deh!6!O_KH#fr1W%=%Ao_7Eo;vD*j8LSKA+h)kyOiYl~^#LB2dJ`-;N>&`Y% zfv`H()R!*%F|~0xjy2s^b!LIRI?VvX!!BBiYKd^s%Yxh-|aUXN?SjGIVKG z9U+#d7Filsu?AI8M4+V2q?fG72)5EjOBGW@dN!Ka&Vs~qHa^0ZsEo`Bm*1Y2UW9=V z9L88)lw`jGfg7ZbvFioaufu9!ur*a8cByF6?PFzwf;TC{LwM6JQT5|Oj1^3+nw@Bn zbTn_Y%Yp!=G7ewPMO=B|97SL5Z+=R9>-F3dXn^F+kW08BMJ3G-~_$ z6F}3TN0O}(SX8W0Pw#Z8{v(RMDceCxe1yc78o6Q}qZr7ZL|l@&mswiUH6cBgMB%KM zN!}(}K<$HA$x;mwg1|5vKmd+q@^%&BG-3^P8ZP{J&pnt6@I|VVV^yf(m$a)El4wH4 zo(`R&G(uYtV!KK)3YDlDrIU7K21>c0GIVy7Py>P z-Wn$Y$0?*f?#7oI!6X1c6!4+l$WMcxdJKb4GwCsuL2wCpuj*&|Sqw9JAofDr$MBd* zpNyk~POf*`hg0{_cQ)!+XiQ?o57R258}SD6Ah4=3!qRl8o;}I}>kIm!5`hyqPnKc` z88yuUS8#5tGmK1-e!*cjMPqSnnXMV;f)>+ z#aDI4@(h=12jR#v3LGZFj@uxqpK}lx_p&Oq=9`QJZ>mCmBca)piBInE-zx3ND=x6= z<}zBI=EPqSDcp*1G7zWBkg9?xW|HLUTi9N~-im^{oe8LjSD67;mra91n%>N|s!95N z9YU!NZXbqxs)DVlrRE-ED)Ja)XRG3}TKAD`1!bU;a14~Kge}udV6v?e*ySd|9}-OX zJSjiFE0@wz6a-zp`Jm3Iz`XBSmxy{O{YtN3pl~K9<#$Z{Vn!k{7PI9mHe4l1A%0pL z)hof}=GQkZV!M#GvvVoObJz|b{w?}6y^5VOhbesHmn4n0-E?z^-H%lg>HBb#TsVRH_J_+(EJ+yF%<$*ZTM} zl|i@3RhI6Lg?#??Ji#iG8%eS%j$sTN`HhY$#$2?EXVn5g)0qNd7>JKN%W)kYn&t|3 zqH^RSD#TjpuyR~=j#4NStv#&Bajj6W!*fMrJCfE&n=om2S!WOYO3ESH0cuC|@`i9qSnTV^S(JGCMzK zcGc|pw@u7OwM7$iGO`>83SM29|A&(Fwq~k|Og;L?R}L`gC@1?vc1x9jtBn(_RCjP8 zo1a+pVyX#SkFzX)pjvK(Ku71i<& zheBMYHOF&(|KPvgULck*FR8W59=1exdu!7{Rq;%Ij`f>0qr2Jp|M-tTRnBz&Y4!KU z=Q{JU*?HDa;;w#Vs}lxQWgI-1>14A}N!n9K^SkQb%3sr-)cB3tIbJ8`c7{V}$PjxqJrm7A$7$-Diw|13Max#~3IT@$4tzDTx z%kC9!7wcW^=AuVlOWE({-)OtQoovk>Tv_Wb8dc}ive!eqORUd?=3Vb>eQjvj^-^wu zi+u^f@^i-TS8G>Y-%X{oX8z?Z+P!IFWd5(|AmM+@KaPJ{c%^LKuXeu5)I)p}(m@{Z z?2+fbW8IuTNX0<%O$Pd=9Qq6Ozd`cN1vhw3t2b?bgH^ELznz2>klzoLP5if&Pz0^B zPzOuScn1uEAOk@+Wgvacqi5Q>}d{9d|C+)NuKw*E|X(g;TbUb6}pf9y?yknr&70r z0A$7v_v-5&$-O$}DJ;3ZNqg%^PTb_tBRP4KN5Lle)|=Pw`fA(n-J6dc9Sw}WF?#&1 z)aKDZPipgp)sH?5`Q*R8Dfrfr%y*iLuT$Y>;VR{Qx2Lvp9Pt$jZSH40mI5%ht>;hk zFjTZ?uYm1uT7zEYmivU5`D;cfxtg=%{um4(so0%rx^X z1xdX24fp)kK;xJ(P2jykkcvhCNV~T+iEz$7fjI@8sh>BWrF2V~1o$12S;xr<6yM4i7P6oO z#~6xnDxQ3U=z*Y9=~ix|x`;J$YmD4BI?L8;>9R(VjIFcnJEz6m$|FMp>KGffM6R7Ep#oe*uu z+N%|eqduVMk>;~BTSQ2zbgx1HnBx@IF@>#X31KT0l-MwDppFZNAlq*<8&OcH9&0q} zn3^B~F>dv=)TS6{kxLd~-4N}Fs3)sI+WVvNdfcZB!DFhQ%46Bw*dGLE2FAt@vwFaq zRgB0q>DW?L2Ox%ph>I-Ivr5y&&K zE`RNgbDUrfX}Ozay9JyoAaNJ zZv|0rC6}xtFTk!frq=-KFm%!IGFD9D6&XSZ2=8pg*C+d{LavJ}NM40Sf|#w?SUtM{ z@z_?r7YXTNHiZ>I=0hP$4gYV91!zSGa;YBji{Uzg!@-*(0tVHDd=?;1m6R*8$b`EJ zfgQ(Wri25j3oe2@fKicw4VUcdqnSizkmIX(5ss*nFw#yu0VEo^JZKykU?zR6sg9f#2v1CfvhFN%RH z3Z?TV6*(!qR{(7Yftbh#nga4Hz_!o}3UVp{et}LRH)cfB?U{WqqG`L{APSW*i%M5H zRbWW6g0%~H0}c__JC&W3iGV5>>XOokdLP%}_2aHqlx8d_HtSWhs8=Jt|DmL>0Y$#3uB>@^|^uWzmDEFK7Nc75IH zV=TAKS)_*02Q|8939WQ!W*OBQ&%_G^Ts^!=52rJ?ULtkSc#Z>@4LTRx-YN*(2Z2sF z$svldnw10#+KBQk<}qleNzGxmQ(^M%nO zF|1U@AOwBScsAosB`u-7Rb~uSSV3k5@zbpZ7F8${jipX+O)VGG$2r=?Oe-kRgH>XV zpkE+}$hz4wX%0WzTAwXzrOL$EiI*3?N{_hQ&2&@{vb^&jJc7o=1+@=+Bt|zBmRS}I z9z%7$Z+F)%i+U~|cw)ut`J31+#k;u|c6A@3tGVwp+=3KUGP>DEItJJaA-G(JYQ{uY zvoeu_vWM5)vp`Ib6ixKIIu@u6N4cmNDam$c{nDBb_}0QYubuYgd^4J``|>J}vND`; z?U}Zm?>_nZ2ku7IrOtejg;y5xkE1b^k<;}}$5=6IzQ$%hSEmkiwX-`qnH**;Niesw zD}~x{-P$)%boe#*@CI%0OG~s!a)l-tpq$oXFo2UU}=` zInow1)|62D!ovkOA}^g;apmJ+(-1Jls=NQ%ThIM?*MByD@@m<*vk0UlJb%A8M;x!K zgKj(zq;$DgPlQl&+qlE`e`=2P+*s^M4Gb8^ebTlE+~F00=FpAZNe$9DUk`UqJI762 z;26rqDS6(>gq+Mx%|yN*H8Bck7^TH4b6|-TD5j;fw=cboiJKbGM^sg$GxuX zjp^~FBXigsVZarwk^VZTdH0ytI@7f`@F4fiYdvY3k|xWAZf&xdI?(Z4l*?GYS@Cf} z3HoFuTnvqQU2e;GF)Cq;2W!T{t#`dvK3F;y)}HGUfv@;Mt<63>aQ(Hmx76C=$zkv1 z6<2%O-CvF-+1?SF!iCM>{O$G|2g7F~*W1J0Dy*W`^lmVxX!@^u=`8-)v(%gv>&M9# zenrdxulwfR$EF@4L5VSYljlu-^QK9@X-+IsFG}~p(s!hkdHR|QAA0X1w+q6s7oz{> zmSb9wn-p~DSJ3?bnhlR9{rKP7j&w)q$tboZ`tU!?o>F`wGqvis2G z!);w>3SZ@-Cl)qFk1tMDV<{Yg+WQ|`H8K1kki#2?=WoB@noQ!)oPdXBc<`JF+(__j zyWd^-*NJFk)3(edhpw;ca(9hBti5!{lUv>2j;_*b-@g8+<__O@B-|W6mNdyVy>Q`- z@oiQQ2n zK($rOr)Px9c#zdmFoFWoR&*H0Tj;^c*++Z5$pmF1vc+wDd==*}v{X<{gqcVtXc#I8 zY)DCW9RtZ>7S zAt2<^E86)E1O^iUcj@gBp3D`%R;ACdl%D9}n6ODedea;cax1C?0dDYuQ*TIM+Y~-n z0G0i4T3=w1W0;fhmGrDfH*F;ybc`QPBsU^Oz};*gc%ZOe&dweNt|yUHgot9o&$D`@ zasVfh1$Y>M>Nc6j(Ff4Y*JI#tC#|({xs=c={$t&FX{rRdU1FREL=H_dorf?nH!m%ubQiUSOB16$l#6=sAr0k>aH47e&8#i~j+^CkO_8{b0u( z*A{V!giUALz*8H{I9i{exYh`y*vr{Go41loMQ2=|;BQYr7FV7l5jScEOZe2 zg8qC;u~$vNvPDwZss8wL%^&6UbvpKgw&`I5==}$-qR)SQ@~^w02qN|jZr46qR=wktcU&U z(DS)!saJyF2bOqVKU{4!#=vQjGRJpBNVRTCs8q6Qzx&7mDE zr|&fBmGeFVR2=)7uzkR=7ieHYQZ%|i@k4_l1u)v4yf)Iz=@Q7Wm~mDH5138iGen63 z-G8`A&>1@KG4&hMGnR6gwV!q98u&I2p%Mi_^7O_bv`FBrx};An^hKd1m@S$V59fsB z894atwzkVpIB`G8B~Irtj16HXP^AMNf*+V9+osz=%VNw!O+tZ!@yg3Wo(*gAF&P<^ z0YAsl$?M)Ly9o*tSvMa(b3VOvpfrqCl873wk>Zlaur1x)7+1)WUqsBvvWGr10n_ zrFy945Wyna3;_l;c8UUG*DegO;vd>?poaH2UDmwttC#8sH@CyX-x-K<#4U~tyq%udR*UST|sBubg3 zC4rtoW2H8vSUb6;K0$%{22&;&i#jOnW%{>%WteN>KJdcb=e&3^`iDPbb8yTGI(eI( zjw6<~39?$OGjRQ+Nh27e!P~J^jmHhuJXRvxq}F6ez81R_&dj#3=Osa(uGtASW7JO|6Tp;5Z`Xqo8N(`A z851j@3=}R=}NN*;yS%n#9jnYNm*+=WmFj}q&+;8(5a|9DYx>vrB87) zvI?z&Q&0W2&_)d;F$0AjA&WF0W(R~8=#IoZPj?D!vYMdqRSfk65TjlurK8JQa;pAC zrKul<$`DtE)=?!^6!d1bn)C}KIJ4=Z2}^)2p^A>q87S|gowV9YL8D%GO%?2LVev#w zyp>~K{bh(0n(o3=k#Pz{ zWe!*-k?_?3YGYxrSpc;XUD~RqWrs!GiZ0q)?6c%}BFZ+tQT=Tf|9H-V=#rdu`RiP% z z;K*9lO-+!F`9~k>injEye~@N0H6OZhazT2}u4n@H#7{lj4{WLxd$b$L|J*LUE1r4_ z1sB!St~tDWv>~{TatE$NICsOl{WS|*FCeMgI#Jhj#`(%KSJv)nyjuO2;GqL$?O%S< z6D_IBUh%J%y)8fe@t$M<8i`}?ymRj{r6+LpL0fJ9LyK3TOfft2)(u5Rr6bxZ=0MvE z;*Np2hr7ASZQGhVKV}B=K7aj4w3W))dQEX0xP5ErMXuJjIp-=;-{T;BIqRv%*@;L- z)?>AdcBphkBvjU!olv`Kl$w*{yw)QgSasPpnl#>B^6-OoHC>*Uo_eg+oj&sMJa@;n zyOhjX+qNGZ?YAA9oc2ZKPq&r*$#zD)lS}e==Q~Et=2Yt1NRl;LFlTGNl!>+mQrjkH z7Hw7Q$D~u;&wo@n*f{1mxa8@d-wp0<8k5*;Y46h$f2mIePMXUMZa>+4=!oyeb1xpK zT%c@Ym()kKmYktWfgAm7$>*B3p!i^C*E5|<)UJ#j=&8%>*fnWu`Q`oBsM6JSzKi>N zf|p*nwDp(djkVhkxBq(3oCE^EHNI$wcO+6 zIENrd!)mMT`iTQv{!@{)_Rs@G6GMM`vh9aI0hhh;^syH&d_0-t*!%B?rI!ehM0qw|?&9mfZg5Zzi7o>yv@hM*w2azvtSc?e5|m^G-IG-$;UoN$SG8 zFV&K7bw$F7`Z&g|aBwYXnz8P)FbsR2(+9PQP)ls3@HE3N~t;D$OwwqkGcoTZ#z-+{@ zHxVPVza6e`$f|x()~mbpWIe!g zLz<}c3(!U{BGP?owhH`#s4xOoG|U(yfFeOln&1Uaf)_LNCCYlM2>C@H-fNr>BDxX}yN~~QI zryt4}t)S9iDa(*p$C9^nu_#-{Ohj_ecf|unL3pBy&gC!AY;N05s?7=PrmP0qg{6$? zEJdt1s*pOMC36wvhB3k-pzjzJV@|Zh#yXvf*&9_#=@+%eJYlD{XKvO`rg90RBLhPn z;ssVG<|p9LEL5dvf1k3IGa{pnhEllBQ02l5D;y>^%!~g=FuAHYxjX}H+tOkch&1T_ z$Yd{&EvhOEWr)bDEk(u3MD;vW&$A+<`EeL0m;`FckyRyD?wIemwPi{}vDpL))4oPMl?uG)@u8uyH3}p>jJTka{7A zSh=e41^~1XBc>X3&emcqp%c7>asrztG;#7G#jaOen+0@O5v4AAhOv3@j(co6m(fGp z_joWKW))N9nQam&iyYO+5ejCYni%MX$BKqZiC)TJeL?~*0S?Jc0u+MPt9(cV5w!7Q z(i99k&(MSw-v{WcR{@N>PvVt*(0lucsu3^46d|B=(J=EdNnO%;j_?~Lu22DIpOT~p z?UbwpMEqIEo<6-etwdMB&={%Aq8tD?ZKXAQ6-EofQ=~eLvFR1T1|4RwGz}HTwiiKh z25*!ID5mUFmMR!)szMC|QWxyIv}hX47fB2P&wHV~P^!aP?YaaJ2Q`QQM+l+{ptv9q zxvU>wrdL9kU2mTXGlepr3Ka1Mm<_XK3tsshjp7*(t~^r2sRlm?SS}(wMH63RZ)a;JvZq2_grFCh5(vuLaaDVmrAa zYOxB_flAsw#|MP>Qjld8>5Qv%PMkF0f|e`T_9)1&FCsu5VImkfBsP5`%%osC!7wrD z31C+>f*Qmjp@x%eko_NKFF$aLa2^s+KoG?6aGVn4YPN*m>C}oM)GETEdPGw-n+_8Y z1lC~%cm@_z!kB{DMM5_9i*~A&gFS)qjDTg|{pHj&Mb+n$c4`;=_QcqV!FJdnuE?*q z;}vY|0>ePV4PP8)pN_#PK!W1q$ImXp1jrtfegJ5OpP+t*FF3{gdT^KDZ$u%!yj>uq`r z_AaLsxXCNCe4Tzv1!wGD$o<&9#))Gn+mxstfWsPl+*f#WPzv=ML(s19?GUr91@_2 z7G)E6wF%JZWfq^n6e&?U4q~P{eMJ|HmF9cs1!6JWYhBC5blz1*wJBCw3CXz$nbI;N z+|@;8$fn|$W>qDa)*((1w0zddNRUgmoZL<2_N{4#YV?4kGjcM97sZqtI-Ada!`(m=*W=Vs-#$h}?Z zzS{lclFh9eqrRH&xphMNk?hdDW5F;8n}git6=ST2r{^b(&E9m|S!QejpSp5ag4In=uHZZ2hQ-2x&Xw#sB}=L8A1e-|v+IQCciR>S z4Fg?Kmv>$Efz_AM=J3G5b4NF(f9)nR&%U%V2fcFV7)2H4uow7i1s(UyTvGm>=O}%& zZKUDjoI8DGJ93|x%&0k|?nY<4V?I>0 zdo6&fh*W=S=-EYbvdgn?}W=N>C)QGN?qWbI4<3P;Kcp5qSs2J_m^g)cPy8`{!DpO zx`ec0G@iCDrq0L#0dpPI=ULY0ab75V2((b3Oor$U8$WdaZPW~xHg~~I_BbE38EJhN zU@?Bjrn^xP2xc2BN&R?XH-KMt^CtDz1}_5%5LNe9%7F1MTXW%qW#2;CJKC4A;Lwai zklnml*z7s2%{`H&r3=={jL@n*!HXBNZkv71`%}K>vAgDz!z&@X*}Z&pjtA5xfe+m! z;Om2D#|M^_fv<1LeHZTk^PR(B>r->wKi{q17_6JzY!UR%#BEmyYNLD zd~-`|fA5P%22x9hR{!iokk27b^r(+EycFqZDr~G!O@pt*xua*(= zjY1vJj!=w?Qk2)^g-n3io#2%(B22m}M@tw%BLGm!+4Mo0T@0pr6|w?KIq-aQ0B{u> zR9z+i)Cfig@d`!Q$sT*HtfSGOnB{zu5wi2aC4}1=X`LVGC@e)u67g8Q6@|}pddpOQ z@@`eY0E;m}M40pz97(`n_QjqFz09n23&W7TRh3IxkI0Y-i{+5A5eG4fNf%QtGXWgS z(B~=!oe(2OE2v(9U8^^^aBhZxaefwOO*qt-zZi8grHoA6#ps+JbWuCYW1Lka5-CFA ziXdkM%A^boBz`S`ix{(0@N1&Z--Td+*oUAhS)O23ZNN`wl)#4`;iTjq|$0u?~|N(76=X)&PL<{rHEKD zjnb!cOCz-pmod|TC9^X;1-vrm*BJ;!;IX(V48{V|2*NlOv;4qLij89`&hF$49AG39 z@j>71r!<&|5Fjeo16O9!?}WO1e5>k@+4VIOBy6#MJq)N)1v2Rw?mRGlFl4&)rhHIe z@P6^^XM_mJeS$yG%JWjurzkFPL}b-|(L%tE#Y~zJ5kIP(HrypnG1c)zkya=ud_!An zy{-|ItCXIp;3RvDeNAo^Fw?}TCzl2ENstV%56aRit}(@mo+WvjRbs0SyPFYd6HW{K z2MD_2z))@@fH;N_0|s;H5$nQ>X~<>UE+1PnO%x;(;iH$9I{K-l#SZlrUJ`f%0Y^Nj ziG;FD!}~=5T_*6`i`aAAv>@==njKUXz#hZ4Vy25|_KNm6O~+7)1+oR7McHu^S;eg9 zb(n;LG7WG_vmgSwSv?Yhh{C0p1+Gx=C&RN|0464NIut-OlgiQ43zS*>5BFgj$tcM< z27lo^G1_%-4DtmDfJm-t?EwQX=D`eJPEf=qTrGuoLO4D zD@ja)LPsr%fp8R4grf$wVl8Of*H&|g!IrRY9iXoDFx~nMi_)uV(prH@Hb6K+3%gzf z)h$%Y0liDo%{ePf3RMhRK4nRQm9P?ifP{d__!E*>4G^6Fwp7?W+OJGcG~zx6Gehi4 zfN;@X4rI?`3TuiX8Yw?)O~zIsQ0!Gib{{MHL3QJ&#=p7OKOqYh zpnbs70R{rGT7>gj8A~F@Uc)dV%YXy~!r}lSnr=}fIFgE}l?RX|qFM2%2oa{U`;# zTx8zBRBm8I%V6FHMTeL$GALe-K}az}^iEc%3C|T6Xi*4({;$C;`ashHxbL{Un&E2> z#|{bqn|Z$7@mzp2M&FJg@EQJyWXKP^b&9!-N~`k5$5$c4oupRAmnyv6RZXjVl;+$-!D^6g-^bFP#Dn<-tt3n)FDdh@6ri5U}6^jayC14F3 zC1ugUB0`4qD;=fzG)C3@`u^mIs9_cu-S@Ad(+jc$LDJ$!LT2Yk!mhciQVCccXAOkmI0D0@YFNrG(^2;J_ zUwb%RefAtXDRreC30_+p0mbexH1yue-4_&BhIUA`+^3d_F1F!KHr#YMW0AB~UCEWb z(>Pvyvb*Uq?Lm~#D#VZQJn15TVo>71t#EX&3P>GkeD`{QIO9>0L)BG$x5yBJM z8C9uCpUxS5?MT@ikVPa!S6XW6jpi%Nf=$sH^NcFXmHNNlVR5gBuxqsSl$WvPhcdY= z)eVG1ns!u~@D8uFfqcQD{x38eTQ2{9(Cq&Rh+{Byyo?J{V|^L? zj6-1CD`aP!$k+^x@?sDb6(t9s$$oS0D_Mb@_!{BnH#v?Fe1zDj^o-xboOxV!eQ5q1jqdXiw5l#wFsalE zfx!^GEGn@-w^KW+7ztj_cfpWcPps$98LK(zCQ60dAm;(zH1xLYGb*&9PmPlXp%q3A zcdsb)DbNR(c1-n%fB=IkXbbjn91?**FyIPfXcc}Gv>*lTzpolXW$ET*sZ`cG}2fSUv@1T+V!~BTQuY9EOPj*(@^n{a$qv!T`Ga zPP+2y{r^|@-gobP_f=R=Stlsa$x_-b(yVUP;P_R*fd%-1=9NEmkX@c_>v7Uj&dkIPj+$PPwE=1^RwcpjkBJOai5+)tZ`&OT_8o-~DJB(dad>>mL+N z#pA1ut5b8GU?y^qw7HnUkl@U zifKDO@IIEJ?dS^FJV0<%m}OHG~gZN3i=X_&W7%>zp$*BsLwFP6NXx?*AF--C`8xvLEZMG?6%^l)2X95Y=uo zbvCsv@Lc@TQ&kiU6R&E8-+)>L6t_)*ePk7bTe#E2LTmFXs#lY7ZH&DBX5J z1}$`UJ$1u229J>PYb}nMWva95{B5_+#-c4%Ra?BLY}zyA0O^(&X*-_jUt@YY`-_`n zveco~dhVd?CE{JEQ?yi4*Dw)=;BciLm^aF8RJRH;8l#r-I;|r0%f7LK`itXYQ1V%| zwV?f3Y!29S0@)^GvZOT(gO7PjV@|d+%mx~y3_!UDA_b8ah&@%e2@B1_mm4-ek~F(C zZ3c7%w#JLPtgPwtCHz_=fp~SgZe&z}vFg=0Wrj5YiGwg8SmjHwsXRdF0k7X8Qz23X4DX)i95sfiG99OYt_XQG;D#zgF$hQZmuKGp!h>9;t{y z7a$E0j5P!w8%^uEfzqVYpG$dvz3v7bacv}R_zbbUyA7Hl)>gmI4D@dDZGif%_YT0M z^5TrG2~7oH3}dmXiDfk54O{&zHDEe=s>l}W_2l+ImHK?8mn=C^C{-EYSh4RKd{%8vS6ld13QcsADJ_H$EH|5QJsc3AQW_lDW+-CYS`vd0_lwU! zzqrGU+*m7utAEt*aTaY~MRxTqB<3`S;g?8l`Z(Y68{`x z%`kkvdf%(h45y(K6lw7fGk>LTUqj>l-DS=G1C6UyC){mMcdRrtzRE$mLOo`to+fD1 zEE*xsbSm$~txw*S-Fjdd!F58!8}d3!?7*Qmoo(5kE8kBSwA6?n`8`1!M8jQkG$L=K z+V^RBm!bF?qFW2g8=5ssOGjBvoO$_fevK(hAX^1>rcTQ#glI*~vN7b%4WSv zU#(kNwAFXGbV_gY>)NNghHv_L(Z;gg%;yjPc1HF0F!=%1B7VZHF< zR%1i_EL+$955AR0tzFKnOt{w=&afqH7F}Zx=SZa)IA}hS)?R0iC!@5zmz@lcP_6o$ zor^R(>jD>S7HQs3>&^_43cYqaU9`I$1QFZ!yWm1|)5s5U723V=7t4S1Y*Xp<*XNuz ze5L+IUunbXrYWT*&#wIIbZhnN@0})Ur09aYX@T#*j;>$OaL7N>P*XbZ)VGdmhscQs zKeOfdu-p;3Z>_ztL+-^L*Q_E`eu#`v78ptQmkq}0q2@=@?~#5M39ZWw)7(}TSq;i{ z<#`&9(0z7b8I*R9B&#y54{T3j8&ThUo~@eI9KNk-^Nd>E}Nv+K1pp zn|Jhfe9CszJvG8eX}Ft}?@6?8vHFkSUu`;J=R`QOWb3g-_7b*lP(Qw8#7y5CEkL+-np&%=ksuk@7%M#$dWH!Th;o#TjER1dU<2b+3F|jXMM&R7afkM zJ<}rk(fdYiKC?IX;9#U>Y_owS0T6gmNC;R>}H**WpA0Ze1Qg`{Ru*5dXXLT8< z?kTuvLunZ51Du1}#co|VO2K|mG+!>cy{M#Uue<-C-#EPI`B`lWA83-S^xU^|49C4)OdUYjJ0iO1U0&0 z15^jjY^bmL;>=t;w$EK2{^Kv_f*$>)AM|L=siNhLBfZ<7s5!alzwUbb&Fx^WzG_G2 zT(CjJ_UN3$+Ujyyf7-{-z}3CDW4Sqq^P_fT(d&1gNZ@8{t}xK|bo@MS)iW;?8Si4k z-g>ug*naC>YxwPb%l8lO&E5UZspEI=J+*52-jQR2PaHq7Mfwcm^>}QWZJym!)6`gD$tzn7#p4tO zi^Vi676aDY433<{S&Ig~UB(JCU4wZZV3AHLg!eNtn@+*)4)NpZ+Fo3GD`r)5dZrfk zr`mhXzGr5sv&?Cm<)daxnKCCWgG~jI|4!z_nAK~8T@kVDomAMC(*shw4Gy9vLI&Gu zY0e5l!#DUBG*)#NLy?fvdSICXngZyTgW?0zgR?r~IKP4r&fx%`(nJX1w}aFkYP{|_ zQJ^z@!dd#*kY^i2mEzNN7k;|voL{)GX}P&QE(M0?ZQ#6Eq$;Q8Od-5P zN{KI#{&<@JQRGiHnwb!AuZK5Pk+f9KfZiO0iAXQN^aKzq1tRfpYG_Z{8#79)DXwsC>t(~egzXuGzgNTT7k7BmA|H#VJX z3e))lp&B7r^@^Ah%O_)fg#Dlu(JDnG*vF`jYN?go&r7%2qD3rSo4%CDWgVnUoEcYD z9}ONi*UDm~rLBQ*nRPd|O(~rVCU8_PgPAKAv4?`NB0LGF}@5 zN|Ma9%0$%%UT3*z`BE~K*>+42B_jBq*s4-N#aEC*6&MhAWOSt)Z0D3XrSET^zlNJ* zaGfIY3IrkM7qu9s7f!`$&wEFs(l9+n=%#Pl**7ImFqlpwf?vHq56Uj5g-lS`WXg?oKO*d_-CsgF*Y;>*#R zHmM{*`bc*TF+|uuTW2SURz@iqAVO>@=^QKv{|R^eh$<+5KpDl`BIxmzx{%x2v+0Kt zNomfLHfY}*(-Ul+Mw^NhQ(HwLttp4fwMiwMAAtmmV5xxZS)O1_40suLo#1k3Sb2A@ zvhS(lww;DukJDV&tzrG4B$-8=Q#ACtELOw=!{|#Sv^{s5O<*qN5l5jMZBz312iH#hi8i+<@l>oaEf61(oasd=#+ ziF|+Q2g%bo;~#x&;pu8JYt_u?%%$~>hiZ<8|48ndNoEBjt3NNI5m@hxB;N~mkbB8@ zhtrt|879d{P=*m+mJ0+~j?N55$ObZ;%gogFCiMV=hs@L?dIuxz8Eiho#6A`pB=gA+ z!r>3eGA9>GpZf0P+g_-Cw4-s^UzDAld!~NTZ`IR(<*(cgV`@(ZLW9BPRSPy=C~B^! zgYmQLy0$j1Ow#)Y7fn;|SXDt5le$Mgo~P-7OmnC^RFSEuA)#K9F_X#FZ`gH2&ye;# z-BZ(hGJD!5XZB=1DG!okm6?G(KWn*Q>A&0G-qW9ZQC`34xbJMkoEd+f=xUHxe?05M z}2=I zzQn*l=)_HD2LEGB)IL`(nO9VDm$r31c$4cP8jm(C55vB}4%}g8gNX@42>ow^by(EL zh3yB6Km*=d<1#yO$whdS+XGCYxTMHjrH_gbYW!|v=``z zymC>d)%Ti)17MY1tyNDBzb((u>O(I#oml-!(;$8$(XLx(BJtESdROGeGrAr5)@$K& zILifXQLZ-nn;(Rh_nbQl9p^`no>+aZ$$BvemC;Psg54(*7eWJ1FZpoK`4j!+Z=U?H z=t9#7Afge}Je+$efz89IJKzzJe1hS!E&;(@;X05LY#soBP86;4blC^q>f7AzNi-Ex zJyG65mPBD>DF_KJ4zt5TmL%w9{Y+QH0F$LS6NM!Nkc|oDlL5=SIhGKV-ZoP`;n$_o zsdygU0YX(ESU_SA=icQZEa0ss#6Y}or}^7VB#Wui-1omRU2gNrxlR(0 z4rro&2S7Xr%Btc~v8~kwVSs?$L=qy0U#S6{A(~B7IAjD%P1PJy>5Ss(=}pmb{KUQU|{%mPtiitS#3vSqtc z7(VnG#q&BaI65#ZP&2rjLW|B2$`Gmmp3TkJG5fd zDWW4_`t{T;y<@HxR-phAN~eD|$u#tLxLBT~@YIdPBqcAOsC&KEYSQW;gxca#y50<*Cy-G5<{+d)5u6be1mO`S{85F47Gz-nM_V69F)ET6T<8*Cdd|h;6 zfl<;zlGxx`wB4Ix!|#83DJmXivT&uh+TlK#GqMppv$P=yG+YZyM0)-F&BL&& zygdA}an_a#2Uovr9LH}YYTx`Z(5_Jl=^@9zO||DPOFaX+Z8J>#AaSgEA1QA<3%u(h zU*C?NR^N8;YVyCkdrED{>kWPPS@-8e=p%2Pps!OP>I&;=x-_0)TL}G=ZzN zVTnyZyLn6uni^6>EMzy)pW@sDbE2^A&wDJ-(%U`cW{-V*zlMs5hQGJ^EEazIU06A#6N+==p!-082$GR|^@Rdy zQ^J66BG1eL75_{C4b_R! zItF0uh=^qr1DAcQ<6@z%51Fs%ezMp*Iws`H((w^KGOs>gM7}KkymF}po}mrru2|b; zf!PUg_p-=K0>0Qk4xq=v^)b(c{}{IU+Seuoz?<*crD6PSXiAFK$Q$}5m!2x-_aIKd5;tvK z@P|48eqad0jQDmy-^2!n2#sNhVHZoxF-F1uonr{X#S}ZbS_^q<0RGMSEt<|#x@ct_ zr992?3V$^H0xHl?4cpc3Z{6GH41J+K)!x0)Xjxrqj}ZG-=(?8!MYz8mJr5ecehZ{_ zRC7_pUd-kH6h&l94?66!F~8C>yu-Kn;AIJ~3?N3TH-mc0C+4e_udH!5VfjL{FZStw zeuqcJI2GzTzq<>|`}5D(XpHck+y0GX0YCs`Kwtr6xMTJ3-J0v%%22ZKlL4%9?v%0o z`Pa*y*>_h0@LrM#fQKNRh0I=hNruV?Q#uO&Dre&O(a&yK`6tDuE~(^V8ACn$F20QV z+_VZvLtPq=i@{qm4UE_EkgIx9k`O7q{;JUzBm9Dpjh_B*06z@7CA=HH?qb%f`YgSI!6g>dx;+Lwj!i*40yt$GAtI{&&^*`H-w+>sJ@udebiIe0K#e_z(N!19D~SU1sIpS zPK3wTX5*Vc`62!)5O_l4{l3ycaBFyB*hjb!2VVER5X!!nqkOlofc95S#cu|{QJCA^3%WKgK5;ePTt9@fTmGyYP#)@qKGWS26k$tH^#b`a z_&o;ku_bU*k8dEZgc>*}&gVX^v?EvtNDqL2*5Dh0>JxM)#a@8__#zcK0V0k&7lpXn5Cj3R2*QC~ zI0*#jU~swPkjZs!Lx2Z7h~Q*692JIs|BhgwQVkg(lRyaH#RMe8*Lv|agQbP)=q3)k z8FKJK7|njrCK#jWFvfM>H5ZMI?q&q+b9m{aZm7ITKn)!Hcj^dpxkG$=Ayjj*ki$13 zP|CA7?}sNsSf`zmeq42Qv;enT*zpcqPJCE{t6ULh4_Hq}_UbwEYjsH`RRK1dacc0?}ak0A>eSesC z9q+Y!{GF%fFMO%FelPc;#cuadMK<(StsCRU=6&PK24UO}o^d{+wCC$Q8C;CIA*fWv z8~C6eqyoXB@i;{B;Ucqe`CdTh|Ag-;oEC9d_hS=r$IbO}Um|T;FL2jKW9zCiA%FWbNUllC#FJ*6McD z24w14@VCO$c!BnoEZ`Cj3oz;PiV?A@M>jsw zJ=wlpcFClmv_dylI5@|*0_?f8`1zgLrrAv?Q$$-!wu3{d1N=petid7X45-vXm1JFC zu1{6GF0EV$n{kD&hR+w8Jt{Z1Y!8Fe@q@64+I*U!HAAG!Yz Dc!zpm literal 0 HcmV?d00001 diff --git a/gr-gpio/src/fpga/top/Makefile.am b/gr-gpio/src/fpga/top/Makefile.am index 7362ea2c..8cf8f40b 100644 --- a/gr-gpio/src/fpga/top/Makefile.am +++ b/gr-gpio/src/fpga/top/Makefile.am @@ -21,18 +21,6 @@ include $(top_srcdir)/Makefile.common -datadir = $(prefix)/share/usrp - -datarev2dir = $(datadir)/rev2 - -datarev4dir = $(datadir)/rev4 - -datarev2_DATA = usrp_gpio.rbf - -datarev4_DATA = usrp_gpio.rbf - -RBFS = usrp_gpio.rbf - EXTRA_DIST = \ config.vh \ usrp_gpio.csf \ @@ -40,8 +28,7 @@ EXTRA_DIST = \ usrp_gpio.psf \ usrp_gpio.qpf \ usrp_gpio.qsf \ - usrp_gpio.v \ - $(RBFS) + usrp_gpio.v MOSTLYCLEANFILES = \ db/* \ diff --git a/gr-gpio/src/fpga/top/config.vh b/gr-gpio/src/fpga/top/config.vh index 5fd4fefb..d40e75d2 100644 --- a/gr-gpio/src/fpga/top/config.vh +++ b/gr-gpio/src/fpga/top/config.vh @@ -35,10 +35,13 @@ //`include "../include/common_config_1rxhb_1tx.vh" // Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels -// `include "../include/common_config_2rxhb_2tx.vh" +//`include "../include/common_config_2rxhb_2tx.vh" // Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels with digital output (lsb of I and Q) on gpio pins - `include "../include/common_config_2rxhb_2txdig.vh" +//`include "../include/common_config_2rxhb_2tx_dig.vh" + +// Uncomment this for 2 rx channels (w/o halfband, but w/integrator) & 2 tx channels, with streaming GPIO + `include "../include/common_config_2rxint_2tx_dig.vh" // Uncomment this for 4 rx channels (w/o halfband) & 0 transmit channels //`include "../include/common_config_4rx_0tx.vh" diff --git a/gr-gpio/src/fpga/top/usrp_gpio.qsf b/gr-gpio/src/fpga/top/usrp_gpio.qsf index 4132dcca..cfdcd552 100644 --- a/gr-gpio/src/fpga/top/usrp_gpio.qsf +++ b/gr-gpio/src/fpga/top/usrp_gpio.qsf @@ -375,6 +375,9 @@ set_global_assignment -name VERILOG_FILE ../lib/gpio_input.v set_global_assignment -name VERILOG_FILE ../lib/io_pins.v set_global_assignment -name VERILOG_FILE ../lib/rx_chain_dig.v set_global_assignment -name VERILOG_FILE ../lib/tx_chain_dig.v +set_global_assignment -name VERILOG_FILE ../lib/integrator.v +set_global_assignment -name VERILOG_FILE ../lib/integ_shifter.v +set_global_assignment -name VERILOG_FILE ../lib/rx_chain.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/atr_delay.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/cic_dec_shifter.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/rssi.v @@ -394,7 +397,6 @@ set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/adc_inter set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/setting_reg.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/bidir_reg.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/cic_int_shifter.v -set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/rx_chain.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/gen_sync.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/master_control.v set_global_assignment -name VERILOG_FILE ../../../../usrp/fpga/sdr_lib/rx_buffer.v diff --git a/gr-gpio/src/python/gpio.py b/gr-gpio/src/python/gpio.py index cd94a27c..2ef49558 100644 --- a/gr-gpio/src/python/gpio.py +++ b/gr-gpio/src/python/gpio.py @@ -1,3 +1,3 @@ from gpio_swig import * -fpga_filename = 'usrp_gpio.rbf' +fpga_filename = 'std_2rxint_2tx_dig.rbf' -- 2.39.5