From 5bed7c3959fd8ea40fc4413bf12b0a7397135125 Mon Sep 17 00:00:00 2001 From: Keith Packard Date: Mon, 2 May 2016 17:12:15 -0700 Subject: [PATCH] Add 3568 fuse holder and 8-pin big terminal for updated TeleFire Signed-off-by: Keith Packard --- datasheets/keystone/3568.PDF | Bin 0 -> 81756 bytes packages/3568.5c | 34 ++++++++++++++++++++++++++++++++++ packages/Makefile | 4 +++- preferred-parts | 2 ++ 4 files changed, 39 insertions(+), 1 deletion(-) create mode 100644 datasheets/keystone/3568.PDF create mode 100644 packages/3568.5c diff --git a/datasheets/keystone/3568.PDF b/datasheets/keystone/3568.PDF new file mode 100644 index 0000000000000000000000000000000000000000..b7cfaef878f759c8af47122ab0201372bc8cc80b GIT binary patch literal 81756 zcmagFV~{3Yvo_kcHEr9rZQO0!Hm7ad?rGb$ZQGvqw7btd&wkIh_la*uocdFhRcj@# zyw-}!iYzikQE@sZdNz2ngTvWHco-%|LPkP+BP)1bUItl9J5xg^OD|IsLM8@TLQWFF(yVPE+G*XaTXCyQGQ-#7Isb{PEKZV zF(EN=4puQP@xRxM!Xiu@LhM|B-R0M1kg_u|_0awsI1~Fnf|)%b*I)kt46>$n<}Mb5 z9Gw3+#psvkC$U?~UH-2XqCsRXP zco>TvBO?<7Bm)B@0|RP^1UO&9L`Rlz6>kV)HugYCaP_(|F2;5!(F#alDe+}k!j?#+ z_z%B!{{U!<4dFU2xMc$mMi{zvtH0CsjUbaL@lt10Wc^Unw!cR8kyQS6SDuG8_tBB|6czK zyU5?cHumQKO*p3iK`s${I~P+s7iVoImVagtGO_;G=^sq~O8=@PWa9WQ>0hOUO#kMd zkoliw0MKO+ak6(1w)fEfM`Zu2nuCj3mqG3?H4Xo-yMHes*knz9B@=sjEzRb2)a_l&&GYp~%UmU_qYO!B1E23ntH7>s zg-6itj@|B`>ib@|w8Q#o`{ym)pBt`-{hbaQsvR>^8-!k&&N#Z5|g!()nRAnb*4cEHE~>3RyH-sn73$s26u!BxOK3PUF@l3GZKYy)%V0>bPrMVXBfy!QNy0&^f2BPR_g17RzcD?%!jNGgQT6j zZJ4Bpq!`#e$sW{6J6i#CcO+J}ld{i0u!l{k4sWsW~Nkh-nllwqL_%5sqZE_E}vk&IJ&oc5V3gy zUtoMBX*dU4TSuZ!14a+SV-`PD{QGxkS6qf0AIrI{Y_$$W9BX)5qV+7U)QfJ*QbeU1 z?+*b;;5~&84&*-G!PPEI)6gqBrf@tzmOuu~L%t)^H-reFn6h<|Ez2hqhv%`TtU)Oz zMRYbWxdE;(OEJu*sX7d<<@5gXpKQ%B&7@cerCVl`)jWN1k_z@@bsnY*u60SVg=J=F z)606?{rR=C3QtRI}WttztFEPlftnkw}x)TVt{#7WxycIH=a${6cRIOrmsq zLx=*371dd_T9%TQ1KgJ}&0y0|wEGii$DtL~s#+0fdj9jIQjHc$_z^vupuhz0i}+!K zgh-$=?NTCyz@))vj*YIDqM}2Yng-px)%9@IKt9W1)8JhM!=4Ts#v|E^eu(Tpq|cI2 zc!iLGtvGnk4NZoSeYpnNtjjGwUm}3zc+|lL_gu3;yfyEwOG58P_j1~+~xRt55Uey(8U2`k*Hfp;e=EM-z~H=;xTE^jlksbYx)ah_zdQggZwQUgAT>v$e7G zQ_F&JWa4Y~mr*E~_b1nX4Nt4`e)|T}>^{^LBJOV|`+m;W?sTI6b26liiei(^^ES{f z6~(-dY{_jgbil+@K*S=KNybit#fhW457j8yWvZ4`U$2ueO*?4}z<%Suy-U+*BOT+Z zbKpK+Tf6M>Kk*ej?*Y2v58&85of$xFxC5eYSLUwXF}KO*4vx1ybiPl{PMJ7}qw!4i z_R#$z^sAIgm{LSx3p4F4<_j*-Y*^G!$5duWSz?!+SQ>^}hPsu@;4Cj{Sz%9x@+{`- zN}Kh1_i>Z2gPrvc+-9i@Y<*ySh1z(6zXUW_93R=7=X~hs#9lcZwifePfzSCmcNCc& z-MMey^W2t#k}`Oxn)j;_efe{PJHt#Ri~EH zIGn|_2JNRWci!y`oXljR`c*IJg|qR8CaEF*hNwZsR55c(G((7)`7B+W=F-cICVdYI zl5r4NU7tetoEgWo*K8l(F&8ODpEpv%&qu<1aLFz%J9aFzMPCMSXE%z zi`k<4y3gdjJztk1k!cxRJW67cfSGVe)}GQ^U>$^5&ej~OzX18s-EebpAk7cQ(C&K9 z>$+;N{${`XKC_`v0Ss?VcY*e!XjU#1R(rH(k`E@w6NoGx4;TK-tH?quzfU?0$FZ5U zqkn>|Hngr*?FXHohWLi@4V5LpOv}mi(QnCf0i*nxJqQKZTZq^0VlnU7&ghO2R`=*EQ#5Sh&groo;VnudNqGll`< z1R{61X90fSkY7HOR|?e;%Q%=L<2738_u`Q9#uU6 zRwbf4nUgPc^!!%YM0&KVS00pr-v)W-ntU(cG&ZQkY&%HlyW8G0%h6AkRtAws{xew4 z{blFpr~YK>+iYV|_MIDVVLa1GXa&9Cj#}7&7u6K2)u(vZ8gQ!z*}j;1M#HFy;Gha^ zoL|XsUWShNMv5JDW-S*7iW&0I>dgWTe1N@1(p6WM-x(G5T9gwlh??!^v=GfoM*Cef zO`mLh46imQ=?=ose67^WOv9ssW`h!Qh^B-KYsU9V8;7O8TC^bdkNYxP$H{JU@w!c| zIyB2GlWR*-If*0w-CiS}^^tCY3j3(d+&X86gcQ0AKrbGS;MX;C9? z=9!mu%5|V(n;Fbmav$DbBP9VGsv;v0vIzC`n*;3hlLvO{0$ZS^iA`g1mb(6ID<#()4XBnCnxo625py3Igsm)^$VFhT_07@+z-;%%3 z_I-#qJ@|qy!5d=URAzT5q}a4tqF9%Mm%wu#Vk;pPOpj}IH{6i=vuCH~kX+HlW%K!n zqCw)0ybjpYX$On38J|)u%EhWvIEST|J|az!bRvGNN&m7BfE%P`8 z0Hl){pI*h6biTZ%8d}}RA0O)pq}osT7%FVkiGg3KhOvR&$h_`N2QaIbS(|nG6TI2MM{0; zllUI_ZCGptHHl^`dm^<%xdfuAaL^NWQ(E0gP@#7Xg<)m|(RYRy5nYA+-8*B^B=6-_ zai0zPODH$i6ST&&@f2UzH5x&JjuNp9cC)X}vt!oC9EFLA|4q!#c45$8ntP@QU2)}q zl3=9hC_iPQ1fF;kaG(jxiN}48?I{r>*{E~tc3(nzoe(yq`DU@BdR^IKLs6k-*O^A> z2iCl<4RdSdEv`ccZ=bF_ zZslLD;obCMh%7X|1)Z57Qa@udPhk5!0kSgqxYJmWlAnf3M3oD-Tt0o0k1LQHq}8ul zV|%LT_iflKop>}BvzJp_hNy!5=NQjNIK$;4iT}|TZ>aAR_u{!wY-JyNKoCFL)duA?->f%IoLMz_6Oe@Z>Sm) zE&bCl1%fF4fuHXz41S4Lw}sGZh{QF^>4w|$6m%b^qsNVhbbN`q*h5=Rz?W2>E;;^= zUIjNpH}2M0^{%!^Woh7nLFas+p_H%PS5}0~$l!WbviHButkuM!;B%KHrO{u-i8v_} zs;x+s!i}*8B##r8C~|DKVn!CXOj)IQqAdbZ_9f(xJ4JAjP$xNv$Sp1{Nr91JhsFrD z1;0VO@i`Rx)W^1Er3vnVUJi+v&c4D#iVm9LVOr6M(71nQlQ_3 za#Eg%>_jeSSr9zl?COV_{P9I3%^vEw<}>IuuGt>OT4Y%-jC_|Rl%##2&SckR zNz@S;CsucArlX7X8|^dDk+XxFszOYMc>eV^S} z356aC(jR>Tjrii-PX`f8$rC(V3s`BD@ zqD-1nXOAuw-t!pzAyz{|ej%y*yfH8q^g)0q=n!KDdF&ud zp1D0TKQjp7-*?{T5X`~EqKeMqDFXsM?Oe_?S(r=iG)whXz6VMRu&S}y9BD35AfnD1 zU?yCmg{o2I?Lki&y*iFHiki#A_&jS6=P;v$)FS`EEV8Y#(XixV1GyQ#wcE6N=U|cx zx1C@^*y115V!mEs&@79ac+SZr>-)3b@gTD8FhUl@)t^`Uf<{mqHvaFoq40?A_ZkwX zIodoDKNmX={;6xVX^$sHW4Sh_He9W~*B_p$n^Vv9!`{D=)i|i3-6=s7vzfPpNbj+8 zF&fVuD5{>FGF|L$PR@KriRZtwA*jAIgH)PAzwjZ-IjPCDIV6izeD#7J&v}(7 zH4hW4)>#qdGo*H2YW;j(Pl@j3+7H8|(m!BjFq_E`5oqDql z&Fx|fAwTS5dwaM2TsVl^~;94}s`z0BdonnLtUyt8?Y zo>J%#t+Z7qI_o!W3HI1r&dg^0824Lvdgs_c>SV2N5$8h)A#ptJ6$7eJ08iE^5X_(A z5FSYmpt@Ef>Ox>}|F~Qj=!1{^$yFqxD-M}=Vg(+}W#674_i+*LszUTh&$ z%k>Zt;~S}=LE`p}MXgQ`K**K<_K3E1(<+~Id*=wtm9D7(!nkR{tCftIKKyX|yL@Xh z58Y|<(u$=n#8D_GjXW6;l}!_*<`#&!n&o`9<#gg$4f#L}@B~{iepLbFf`EgViOdO% zOW4f@1`QY5yR(WO7Qw^OAcun5gFNGh?IZ{U=E4-gJZk%%&Xg>n6f)pqw7wX1p0T%x zMr{K@hEYi56mAI)3&!h%){&fXeV>W!h)KdM=i+-OokT<;_&Ei04e9hH*&A0|Kt@25 z%seQbNM=7f7_&nP!D)3RNcA55jFsX^Jt85+ayiNv~_i`!uoX}Qo9)r5&Q zFs`ed;VsMrH4JPtdWGX?x>?k62I$BeF(^cs0CK%^F+& zAPni*p~_n-{;@F)niVnARB({tDmy{#i;!eDH{v%@7`uA6MoXMx9GVxM@!f|vilVpY zX3Zgy_Oo*$V6sPI-JRrMtL6bt43VX0Ii~-7>58}geKqXmD)G<&r)G)f+tnbW2>T56K=6!%}P0?0Z zQ4yszA>=pfqeg;DBEB+4B$b#sHH2j+e<`99zJZi%Miwmb;H?@bDm6)(B{lqFNWL_J z(?jV5su|Jvvlj6xu}MKc_({~i&X;*|Luq)M=2rpf@pnGbQbrZ2Kk1)k{C#joH{1g# zTUbTS1)I}=Y2sa!L4W=M7Ct1I&lv<${U`a=A?=*YaXD<3fgEtClK4PAG3^t!xmJWi zkeQ?|Y^=o>;Utnn1|;M~zNz*Wex5Nd-(jGCth ziJ5=rd;qsF@X@_#e&hYpdM2e+Nl0jqwrMZkzPpX?d>g zx39TRXOT3YbtgU%8wQgsOGvm;jQ@b#Q$s>n6RE=WyPtixDdDxEGW(+1e2MI-!mjR2-ST+5Q+u->M#7l zp_es}-^oQ?v9&sxec3y29P+As(9lGfKLd zN>&KZi*8+E6S;Hkb(Mw{$X+{@5kM=vOuo??5ZFV9>Zae2&mmQ5cZ&5K*#g^CP1wi! zx|JLwZ}CQG-NcNtJdFk{36W~JYBzbG3t@qW&GMFQ@W+vpHNH2a=)4`K(f(#_GsAUV zViP1miLHd)3)4-0xNC*($W!#SzxPO#iQp!D{k=z533uAcNmo1=sjbm9osv<)k0EJn zbKQM(-JOw=&__U^`X&B2G%r>?uwpQKW4r*jMy@M~$)FpMt=w)NAh$!S@t&^+tUd^s zl9E2LP|9+SW(f!246{)~{_gL9AR-|z^gy->TFw>U@acp;;^!fkoO5{H?ah{_DHc6ScC5>PLFN8#jnl3 zB4;?DuP_e>G-EHVk|y+*wjTsxlfdJC=)x|e6p}Myp7lcvOkvHD0g!Wfap4K*Sd8-9 z#u55b+|W>jAR(EexB8;#pXmn&Qy5=FK`N80Gqt&Rl9+H4VjK`%aK_>$ERrri_<@%= z$mro)?J6vYzNwq3rlc%iAvfz3yW*8S6PQNerMfe+lt|5WUxWx!Jbys&HIg{|m_zW# zakMop^w_XCb7NHX5mAhK6J7%(grLaOg}`0!|637|v?uI!>-Qtp!M)$ddVdue3Uu&B;pZ z3pEVTJXVD&jlwztSNlR;}y)rAWllRe7s_5pA<0kE|}`tSk_Rz_ys+!G{ssUWoJx~ttD%Yu)X1d z(B=5L^Bd-{ime5WTU#T+7f8xN57W$>AfO+Ps-?PmNTFoq43Oo3(9&fR=c5|quOr|8 zEbw;ju4}3W?vf%V>|(QZ7=u%r$nqZD-I@8l~$vUxyWJ&FYO(gp15EVP2bT}j=n zFV#a|L0`~Ov7+p?g34{Cra)wcmKJ-4@DiRiP@FJc7e?f#WX=Dri)d$S77}ZIxbfq?i;(K*M;a34ANENSy}^b(~QBxy!PChqpS;pWec}tf09_ zA}W^P=+|W6d@xvVPO?qr0WwJsJtAhye(yx_s5!bI$?+nsl`OCdfh8nGJP{_}D2SC% z7qySlW>TmI4rP_ww-W<7J-idj z=%~WYn&{?=q3Mr`%0{{6iuS9mjPA|&(*x0=CO7D=RwEqS6$*wVxqzNz$lKj84Dz^CR-LgfkixJX27H6n===vW3M zJH69FB?$?`(`>MptS`f0Nz~IARw-Phi`P=Q(>~`E~03!a#m_4xlu>zGS5(;Ic zM~f|nw-twvjaGfo3!wZzei-?Yk|;es=5d zlbQZ-N4Zy#+?~ynPBNG^LsL!|e3ZkcY`D?Yckt8k(sM^3o5AiuvTW6#W`E|ATcBp4`7d_PQ)%_LldA-8wBDID=ve*D#+7%teB1R8aHQhhql`Uq2n^tTP zb(YOc_HSov9ooRRMK<^+`)0G$!>Jy;a&%Ji7P--tuE1y+-`n4KpP! z)R5fxEvk%!rPq1SGT9wHwu&v5)}$Pr#$5I!{NEG;A-D1qN!r{G`7~l7CP_V~k)SMH z;Hv((!F^6+)~_Ma1=vsI?I0wzfy_Z<2bpPepvx3|!wyhs%UV{yK*W_L_5eXXdh?EH z9R@STdHo5_-vh+7#mc0d=4wfyew+T<`T&IRYCxlmCV z(z3(^8NQ%7Y@yqXV{FZEY@dH(Ewg_Y9nQS`eLKK1`fs=2Uc(;&KvvIN2`5Es^xW6@?s=En;K) zT<<3akJ#KKk)@OUJ&3sp%{&ZwhpI=aSSwZg8NDb-i|Hi4}2$?0LmNAVtjx#`y zn&p#_71xORah8tQAC&vX((qi7bw-s3PV9GcoN;)?UuI_hIp=(u`QiQgvF$dxIOgYP zb6|JXJxNb;R;G)nIzhbCw)-nm2ND#yRwVH92EnuS^Nw|Oi>zwcHHsW>E~F~Yl?&%K zRE-mcUPSj!>U5=iS~Fj`xeHwY&H8eR*VT7z-X&K}#JPNG>0WA$1mzOjW@LB+vHmsHZH-_skW7Ns%P9`aVmB9_( zB9M7bdM*hi7fZQOtZM0kfuEc#EyW`g(wJ~D6eX;D;G0x#g>JX#JX1k|KN`9bZ0D(f zRX8y24LRuZgI+Wa8}Nj5Yf!q^K~D;1IgA5p7(!t0pRYmS?3}cw1>IOMpmyM&WAk5* zE|fWB8ADHw(S-vKA*CbpN#>=j89;$VW0a0$`hKyp+JYR|e9FuTow|Q6aQ8xDVdZW5 zc+SnZi$C}u-*5-nG}dg&gnCAUHM7(wQFqv!ir_V>zI?E&s#MuzKa!t{;Ix!o326tS zWPG%nI2aW&U}aDKe9=y1Ugj(8ASP$9Admuq{0X*V3afLebqI#b1lF}{40!+?c5;O5 ztSJ%AL4nIb@rb=>moss0J(8~a;CI}lfiZQ3N4UC@$a~v;{b!H{3-s~}Yj#9Y&piN% ziED8(lM!&){##}>#tr%<8Igh?TjVEdJ0%(N6@t*1^3Kb38bNRFXha~ppY}jVDl3s6 z=VAM{`G;HY=cBtaewMt>?6J|cpmquLePOjbbx)U=x?NZc(+j~NluI@NY!#1SD>x&| za0e8;EaaIy(~bP;NP*6rvmZZc8$D(*ygg*@iB6Ut0WzaNa_KQ*Jd-n^&*rCa@(EsN zt%YNt>Y5tEDJ_y=B&!5@Yeyn+=}|CU0yUmc3DfJ?0#8%B8WU1@Z6hirPpEYpq_L(> zd&ZH)OHO8yT_XD@%cPz%tP+VUEj+7VB7!J5P)~X|mAv8<=t_GJowvkGVcTFJ#eu$* zL%_Whs*o%BQiU&b#`i1ZNHo~a_hj5K(8CcZB&odtLV=Cr z2|f;Hgl{hT5J+C6Q4bW;9O_*0hO&~Te$4YIFXd9w85BnV>D8qoMfeW#eFg+Nl*}GR zS_-1ng+#??r5=oMaFysHpIQE6#U0jxx+>nSX^)L-NjQpOJgVHZwxm2KEtGO9Vgj=K zbv^hwlKrGC{?VuR>M?4$L)k)M(iHq*ae*+4?iMYbZsIhO4{PP%*g^Nf8=cygwqU_6 z@~cphi=UcgU7t)FlZK>o;)ddVk&KSgetLDKB*eqm*^>t4caINmEY%mop{W~=6ddTh zpTs3;Resc zXR<^#<9lEAvzK405f3)CijtjAukqV2pJh_Rqq#qKmJnvX-Q&bAlpcW-oT?tMyO|sG zAv+PjIB6-!F`Cj^+eZ(KEy|;|uxRw<1Y+KjDhH^4peuN@9xsxCgZY>CD=%OA5`0=A zSs%*Z0+L*SsBUe^S}X14hbbR4OIP(#t&NqBXgS%CAUA~++lH;pKTdyng!PxO6)zJz zN!^q_6O4@3Bb>bu)XR%QR%d!N%5iaq6KLZnt#6n7&?K;*r!Va}r#Hp z*gJDYFNgVoQT|YuNm|+}Vtd`@zNh&lH9RTgtY8pQdwmV-HPtc6H(2! zJn2YmP;N8hNbv=eI&x%jndAP})`pT{tcri;k{QNrtXJ@764smYW2FpS8rGC`+<^yY zqy7B1G}vU;hHcPXvcqFPi>Z<4==+Il-%Qr0#;u9tkc$on_oN&m+|9EFtq-T|anF$T zYu2q1r!}S5$UFCe#_h`T<8k#BqI;?2JYZ1^{1>yeySqb{T^R7D)t|?N2Nj{#5B*oD)je zktHsitBI+epx2pE7cXfpO)&71YJh`C@{tEVXt1soeQ5cg*#ST~7S46P!7za&jOS?o zw>Gzbn$P}!yS$hf89BNBXPeiSx>OBqJN(uvm6L5g3JM!R(X66FtscYGJAc#E)%|alH1lH6(E-7&!KP0p(9UGSuue?>+ZMX`}Ln% z5`3ZWgZagbOQoo6C*H3*;*NOJO481QXatn>-@JFq3b4U3K-+GQNs#M}&7K zs4KHsxO5NIPlB4?ZoJNFooZ_u3scU?W{@-2oMD=P<2{su1AgA!OVdI-H5q>8pB-@Y zy|y_Xfbq1Pr70iL??Z|P5w%WvyuliV4#kzZgMdT5ndIv?^YIh3oecRyJCRk}js#q{ zMI(6uQNM0=zKcWhjroLA1ra(LYp6lSc~%rGY{FK=RjFu=^`T-ot6FaTEcJa6mXv?1 zPwbT)#7)Wl$SQI$9*1vkBG**2c?LOT-6`p}h|&0&QTSS(F6d!qWxR7T8b&_EyPiKbq6qy*pOy!jOt=O5n z^xX1+T4SmA+|~gUw{?spoFuPIhKDLXODL^TL@r;PMjY2HYR z8mGE(DQ&T|FJ3)(Yj3t;yC0LB-Cbiq3sWL6{e17z<#i60x5Xb!b~+X$a5!(P9Ybr(2}!yiakKi5>FzFlZS;|%wK(Ppau({MhYeG z(O)YM9@CA|6M=kG-*Kt%QDw^Q`>Z@2e>t%|_-BirYC8l!F7pj-pyJFAlTD?^n~Wz< zmVXShpxsxV%8K90YLWPP(ilJlQ;_XfM`a#91?vNOm$U`{`cQ$btC6WzYuvE^M!K>~ z_~?_~@yp=Zb!6EL^(p!xP}68sp`Cc*S}V@uy#AT9qqs#yM6+`b$dw(Ir8|P@jJSEa zh&Uus>C#|kr?$8%!Zoyl5D@P6!n>4gH+0#O2-wX06i9u973G{GfNwd(YLOW~YY1}1 z$fcX@9KIXZyi(cy3+`IXE=wtg(aA+hY7#I4)*j`++^?g3V<&u$z@LCJ@4!&GmW}Js z5#*A9!(l>?8M+$h^rWk;SHQJ-HyqFMz5Nad0X(dFPiXncsQ6+D;tGtHbx$DqH0_p}m%$?{}(t zX&>%XwtKd;;G-@6MU3K{Fpk22NoAzO9bY+LZRiP#fsY&T7gclK3e$Bl>QU_0cTsK9 z+fart7BX(rD~9nWqvCnUBQMZJn$l0#v`D6OY@u`CYMpg#2_R_npczf6U5hsX|Y`suuY6s@p`*Jf7c3K9nV0qtf?B$Zg@x5 z$xszN=rm28p;$D|VWdns8nbH#Q48Yr1vFtM|Ez}JC4F@!rv?F|f~eqD#N%iZ!ICda zjYS_J8`N|70c@!Kab#%`k(XfGT*HTZ&PTl9tXyyTYipEFE;wPRA^Y#W5Qf1bL z@{&MpW+S^Pov3CSTjNL!Jyi{Bh>aV=zD%G{>{4Z@k=N?30?KG6d80Ax_=sq1Lu` zQO6e7PjG%L>*WkWmc5Hd*>SX!`d5P8dHO3`7l^i~!7hLp3Uq1C_Jhe9okw7}AtzfN zNNy^!m$>?1RQqD2;*AP!I4T);FR*$)Ug*rcHo7LL1UpSm#cxj$_B5`qH*2s3dp+5? zsf>hzNT>3MiFb;VH?K#ceNT9o{VJQB<$Bydx(@rK-W~Ef#!1g-O_0)v{KFn$$K|yI zQHo_84#%m5-YBT38(ozaO9QCQp$ggr5G_`HVr!vTi8i?@8GC_|RN8diFTfVEifk;L z^;2&nRO;7K^F@$dh#-SgR5j^8@gFNZL{#Zo!K?76;AoP}TIh26!oR0|x(g}ZH!m!9 zyvMTSzwA?~!%0!6Z}XC3_c0jDT!yY>7DeP<^KQ%F9PLX-#F%(~Ew)LHC?Ms|HrS?C zH+~MA*+&I8!xo!rxg1k?t`}2yPr1!Ec;IUbFed?=AIfF4nK(%$xyeHWy=BcKzo&i& zDh1KCubr{KH@dS;1We4;3n(ziUE74LWK4j3sWauvpDV-0@p_9eZLJIQ79;DgO2H=zfSvAKru;~Y!{3ul{UY>NI7wfUxXq4@MXm2ZSiNV+ek zTpX6lLot_AMSTv2*W`mBK{HdOyqiHKJ4=sx*(Zf)fhwAV^;LlCKwHgADo>?QkKu@1 ztE06VJN{)I-O<^DDxv1ko;IgvMB8Fn3UUGl)_nj{)2|o*n|igMn4> zMqKQbMW}5OUbOR)J6?g$yW>95S6sJ~u___TeqrX5!02s}_A1$>eL>CoqHT)Ea8+Ny zHKuvmCvl6_^&&WvBL< z5ACU?v3Qc6GPmJg-f|20ESob2X$QU!L(_%0v{$U0WXLm|*6{}fJTBGKEqN|HY25mP zZb14f(nqEe@-mo}IwlW51upURs(c>`lnkup&LN{J*0JB=OlVQ4_ov5;MEBsTj!1{LIJL89oMVS@2hE-R+2&Hdncc%7y_^LG#0(t*}<-A?2@|{ zqsAp43FY6)Q&>8JK5{nMP(e0k`W{w_9KJHExpbrzmC2|*d9*zAMr(*Bs1`Vc!hxEHHo2@PWfF}p>~vN^L3f7f&Sk5mmy=T1XG@7> z$YC#2Ls#1rv8rmg?a0a|P8=(8=!4b> z>|F?&#y}ACsD_(xPgnEMKdMR4Q@VC8ZAMJKuBI50(r7Q-{}iDr8f|T`rD7!ZE*y@Y zyHHm7RYL~99ej8q)>(@6D=9aV_$bFF>26+`+Ht$qv*+BN*O_;IjHkItsF;lpmtQOv zCsGn3D?10$o|5J;L>$}SOuh*pGuN~2{h*=_@2zL_A#3q)7m4zMRc^kf1E&%&Z2Ya- zW~mR~Um>Yy+)}x(?SVSku>P|JB#t>WZG|E6KEwZ*CQJA0=neWRzpI39zU5pkhaQIj zKXkUprXJ(95NFY3x`FD`jR_T*-yZ)#lwWDz{aNGKc0~Ip4pBA!u$x#PtgX!lhtep> zA2f#AIY%m*@h7?r8kaEmP(B)Z*GM0v-RL9li{g^kUR471C@+YqqwnCG0zO$%nqJLh64?Ly#{O@ z&F`?ci?LX;(E#rp;U9{8Ue2*x!ri}@^`Aa}fQtGPHb!f0+h0isM`lP31T*b!ZabN( z>CuC>YdoeN&|(_6wbP)dDS9IBQqD-RJ1asW9E?B0b~4XDIs%eV($Y4D3AM?K@x)GX zz$%%qN{&8n94z|z_bv+SDR8b{BpJP7#_&_5@5gKnf`i=Rrsg^D3*Gp{D<_Cc zXVO^Utkk+0OKxA+%jpVcbG@o6D3Vh}AV^#$BERCf&nIcc>9n^^()G$X0!9&#$=#o9VV%|LS^&oTEza^|RO}-<>3p<) zX2Qlu$N-3ZmAnP$r~6{)Y$U}}cqNrN>~9H!Zj+sMZiLJP71}`cm~l7^OaX;CB%e7O zbl{l*TTa0~&^$ZS`-$XvXaOKC>18P4E3|MDnNj~Rl#B&dN^4~nd7L05XEDbR*Ctji zHlxRT5c_V$EUjAnch!{M#4MU)%hiJw&=2uYEK6!mNW4A9nT}47TKZ$17?yNBtnk3) zaq*Z6qa+hEnijFrhIcrNs)<|tdIQrOd$XOS_5fWCT;|R(SqVjTPZ-z&fUFbZX6Atp zM%$rSX4qBW1*X5SS8>3%POvmKm0b>HLk%uxRn6zDuuX6)QTad*g9KU3tF}M z_sM%E^jD2TLSxSj(0e6`FP&-p8tMk-sx3G7*(w=HyOVX+KcIVsPDSMPaGjVBv zCvH{Kq~=`%GV>Xij3&clG~GCNo0PA8z!Y4fWVs)GS=liBc@P7kXC)7cblo-~9Y~WY7XJdGSiWV}^fvCw$vtB#;vWXQO8_q0gj2CpnTqI7 zTqQ)kJ^rk#y;Yo&OJi`y7bR>+$q&42nzAKqo>y+9!~62v zqKKOw1)}A|1gJ1cF0OhaYv6JsGXT+u&eG(QJ};?zLSR+xv`7F67EHkR!Gr<>|Bx3p zUaStV5oUK`VPjRScT8^Wwcd?1ggwNP2isfzdmTm}JSOX*17>kW?tEDR_Bdg?Dv>K> z%nc0n@=zwLMNjy~l7(|H+ynhKw>VX|_b4ew1&tRCv5aD%T0y}FswaWRqQMS@uV7SR z)|2z_{;z6z`eD#l7{BvEWG9KymBckBprZG%>dS>yShI%N=mN=SHmcR&SE_{vjWW@< zdb0IWF*0S(A|IJbW$@Q>s)O4eiaI`{85{?Eub}5dast9n`32la@@N9eOd9DJ8fmde zk8qrJ1TvGP2ih_z*i!sDKkO^nDgD_<#K=KF2R|&16}$(vbN0(vHR$1QvjA&(?g@@4`3rwc>So`CX$KW1iCy?Ji;Y&TUmmK@YGivu zCJ+OzDFSI1ysLJHdM-nl+*7k!R$3BMMX)ecAiw&fwN?UK7m*abj=;@(-TV_NGX0Uz zI(e#!9t9~L<_#I%sATaXQ%R(fP$^OvA;_lyfH`n%t*8l|AM<1gk)u( zq8L~vx?AC>NXB(nVZ!P1%|lJ`Bt4>1#$pD`98n|wTR@qF3a$_}luC$4^_3tes1g~% zkqGvZQr?YdVL)Snex(JLP8Q)n!)R+2^#EE-C#XzjUujS%jSaws= zdY*{5wxALI$uq zK)8%~-%c=|xWtkxHlGApVLmrEdGQ3+x0csv-mhQuu6&G~<*zBu1zeamLrS*_8G7Cj z_!ywC85l@_^OQG&twNfd8{|PqF*+9XbJ@ z#DPAoGRmLJDF^{AP%HweDuZGvuXY=e8gM#CTGNgbywe+kUd( zKPk|PHLefAPelP)+1Bgv+|uV~7>PWjfeF$i829Jb@yRrfL27PaSKPP%Zmn1RT5dvX zQo?x!sPbaRLRjI1oC3E@_hC%iKf2bGPar|T1;%w!^6ZnuPRh<%3(d|G$(3WL(6~j5kk5QvxJjd0FoQ~m(1)H#!XW`FUa|mW*;;D;TxVPu0 z00PK$p*A^DL9_ig9kly`fCHG+)!ELWfJDS$e>E#1KW0@L}LQ=CeIVgH)5}n zSyZz^iz8fqaK>IVHQ%*cS(h6rA_A^Qijb1X3WT_6EoH{Ff*)P_tT>!thKtcvOCLaJ ztmY@sWn4^PsWf9P6QajW=Hk9_EQ)X!J#kYQnh*gxU1H2W`c3!L?WWXX{D}97wTUw0 z9Z)1*DjNx1Ue@QZPl6f^|ooQit*0wMcgz8>0_R}y}*x;e0zctVrj zD6}iToD3V%<(7dufilP;-ZrhhfVV@K>nH!w*}Zz+q(QL@(S705;dwDk-52&IE-f3` z@c7xg*X)Y58gZp8)+B939Z>cGRP(n%G|k~>;9SHumPL{*V~cdpDg!7X5ONcejIQ#G0JOU+G`N?9s=7IUQsyX zTO_g4tch0^XxKs+&p%|jsD1Xq65j^Qp6%p+)XEwFAW2vkrNAFxz7Cm~sWrN8BVkX3 zz>h*eM{oDM*){NwGmum+X@$qeH{oxCiMSn3PMqZq?=P)%jIz8U$x_Urn9mrBoxUmF zmm5gOZ9#7y(0{s#y2kIl^ZY?2Zz>qfJ__>P9RnLDudFmza~msY_F1R<6Hgc}VMZ)Z zy1m~nkFu_5Qk;OT5T#%tIhG>`QgRSj77H4|$w|`@>fVeYhWG4w*84G6{N>u-KjF1OM-DQOsh`=fo$b35T~P zVC&v&DL0Iy7LipfG3T{`3m&bkXs~!uRR&H5N?x>qEBS76$MjShKmb+~FAWFSsl%pW zZf!iA7W1eWqQA{HpTp7w{|{m3)GS!ECF{-Fwr$(CZQHhO+cs+3wr$(CjjnU!KJ-IJ z_rv@JE9Q!sV|$A4cwa~njs@^CN3>Qg>>QT0|J~0 za1zjEXa@&n10pnBV*9S2veXeLd{{#<(1+;D_USrOa~$eMZ^gm_1*Wt!iY4=KwjC=I zH~SA*jkm5XLU7FgwOH~$_v~?LaBko1j8rJWY)iE9xM&HR!QbHF?bL3h`8zCemUFXA zAsCb$MXz|c0V3L0y?Y`mz=HGTX6wXetKGT|D}n6v+s=`ahAp?%!cc%Z`{MY;@anvq zLl?yE<#=iKLqrtHlHC)E&g+78kUSPg=ND zNQ}?qCDu0nnG^?4CpQe6=DUM?X`49y=a&k$)ws>H9PY#(+;3=KXqiZVf82&{)fR2J z3O+m6mP)-0xPPmra#f~u)x`-j>l35V)!8X)QTInZ`Ip;G!n}W@5&$8g=_{r4H<)<< z&P#ZH!+qmm{-#{k@-Hbr^BL{H`1qU2?PGxr9+$~!y5Bf1IX^~pT zNwY`>R-CmNHPgymK~qFuR6JLjO5IDQ($Ay~WiM&oBy{)R)41O2N&l*z{W$Tsrk&WU zg`Y$3cvO+1$NykE&v47oCp$-Nk%(SC-SJdi$&$Z|#1Aoz3OVgeUttnZ(RK%sN4ST} zj6yg(akdp=;(}D`wNuNUv~J}nK4SZ{voFj@|8t-d&tc|*ny=e9dxvwprDIZut`C^o zL8`u{`RYx%l`IYDU0!zvYG3ltFK;&#`^!15QZ3=;k)ucTewjp3yHYDkmiS9Ij7yRN zBOJDZh%JR663{%7gM_A1{Tz8%`Q5HenM-qTo1{GJsB5J)#-4Upxy4?L(u*>vpl2wN zpa+d za9#dpzwSpwnI}~r%SddJG_-{^0V^{97QSK`q1+a|7tET4vJ&_e-Okuq5&T*_AMNgs zVO=XYED<~eOt$Owmw^J?Z5M7EBh=TKu9-q+$1#beEdR1>!*wH6o`(cNp`6C7J?3Bl ziSpK*p;*F88MV3vF$N-bCUdxYsgB@!sZcmq8M?c}VH2^iq3@2Gm~NiQWcd*6GC_-L zQ1k@$@xuKn@L!+6O{Ko6EBD9^P^rpt{!Zjxcpc^{3t5n6gWj$iz}YEMd66wkPIcjn z_F13>UD!MWnBM?wJK3S4q*rd80xoDT~#O1A3 zZNY}^_7@jiw~w6xrwtE9&eenc0xs3ABnY>S9o81oY*hp0rT`TTm01U%q-xw(2lMnp zjiIOA&4>#aWsT)9$zt&jg`zp}wn5XBprWgIiO0}dsIdN)Jc1Sm7C7X{`l)=! z0Z))O>+j+lR>#3NNM5D=GN)vw{i8@7a4*C8cIKOAziS^oC?2F^FCx8)SF5*nWC*#) zH^Du>p;HoP*h)rEtA1w9Z)6YCuA0y7R>scF?)b;6QB(4_ie&G`bwMQ6Zpyo7JLF8h>(_ zI6uCfo?jy_Z0z~-XA37AE}9BNl>L-Ztg+F6-zH1n&*SUQe>5U7A90+d`bWMAZy;U~ z!4p;#5O~*W;71Qw94JHmMjtTXOte3&(6#x40W}|LG9Y*1t}qY^;v^ey$R-4*n_l%L zZ^sl#ts++DP@UXMeo*f-M>rzl2DAXQmFn>g8jluUZFL5JDx(U4?Q{Y3USOwtHBDv? zctm2^QRsAhd?w!RhpUb;?m?%`uqZi5?SjB4bB&3L&eJ-pdTg1=0=ph<`gv_}XfG>1Hwe!arDwH|6C*q-|U3um@xt)UfA3!93Tk%t&tL_7e@$of^D=5(aHsw^^&c( zTyDnC{BjSryOA(dR|#%HDQ);u`k`y#8`D7p7$V=ThX|E*NT$rV8u8YN)jk;P3`eB? z;Fz1)F~qC!E$U{PJJNOZ&$rpYoDWp95mVy7SLJ(}Z0HqR?+-shis z(?x1`*iV%%SS;E(VXLf)v4Xf@uF+n^6GyUZ8IE9jz9T3CzQfz z1T3w$qd#(;ccU97&0SkXx%KAW(cCvNJJ2s2{6t6l;O6!#1WD+-MnXw%+;QnpwfzgMt$RWeb7)7>!NzY2|eo#9xOshDYnq@33#mQ5y_!znAVl+#3G6_Ab-v*Kc;sMX9g` zBO?d2p|NAV1b=d7_YxuHmFK~(B=_0TUeVrZuH-G46S@Cp<-h!jd~uR^i$QU}>7c^a zmG$_YgoIaXPy2Sm39nIg!ov6+T(C=t>Dy!}N>*2&rv5m%e;didu|B>J;Ni|0_y|px zNccWWk*M$Dl9-_dNNs3-?qx1~jR7s8Ro}1t^{h4;Z)YfFp89}GE@h#qBOl4nkn0%9*YKgh#g~THr0Rr5owUq zkrCmi$o0iI%Z5MiYGe1h5D>=p6my{MNsvO6t>Z<~xE1@*7%eH|(J=~MIo8o58|s+R zkuw$*QO-E(tNK{MONj5um)2mxS!+4k8NoY!qkx%$B?d08CAoqbK}uF_W8NuF8*$Mt z{d`niI&>>vGB?s>W*T#zV&h$D1S3wT5Mvp%&t`)S+%<;B z_E)S62w3VFty4LwEz*tGMHg6=C{nz&*_>H+Q(-&DAYfvZ+YxAkGgYxfRyFRYgJbIO zgLB-62`{{kfFz&&CpT5|`!r6C5f@U5n?)S0Gi4Yb&91v|-inV|5wQY2!pGRT{tSzb znik#)4Gk=D;E)RyO2bwuByEzO^2YLjUC8C(cdzW(#)mY$fQ{!`&~LEeoxoaL8N+v< zQ3`_9(j>%|oP?sHBXV3|i+#gZ;;O&|qW`K;z!$EB=W%0C%8k2E}};&FX(qp9E4 z)Jw&Iu{_j_q{e8L%5@CcbdUFi1h;!rnN5mHnXUK<8AUONda}Gn)u;F^ule#ZQFZH1 z~g!vWwfhFDXr|7=#vey!qRQ!ar{{aZ!4T_ z4eEblyhA_U;b_Ek_Vao0<)kbx8YYIp|F%+144y#~K>BHg_cJEwSzrS%$2K|Noo4$N z*ApYOB2FbHL&SORZe{tmxSgVtoSr0$vz)IX264GqW%P-iZM+YcXF!Jz8kOLbLd#_I zvNNg4T@#M#FmXy4`MV-OVBzI0n|~Ith(Iy-NY*vvN4;5O#}=!cUgo+@C~lCDho@B0gS2I$_Hp4F2}!&)Fnm#1KtM|Jv?XL~fJ z`M}Dz?@5BZXg{Z!Sc?hLqUbl=nN!MJ7wSSp~P@Jr2+U;v+%{)hO^X!oCW+v8nW5})7Dk?d+L`sVuL{X1Fj&!Z45n})Yf z*Vj2WNC^CqpPR}L7Y8LDvbU0OPZib7QYaRgG8Y$%l~Ia&*2M%xJA5ih?~Quoj5;dP zFG)qGzYJA}Mcq=Z)fc>MS}vB3*)of&gM^#+@L%urk3zt&Bnyb*1*?u|_IPEe5B$vB zI;f4X{UxS;c`+_Q%*n9IOASPwYc#n<*3SEee};1Kf^Zi=I5oTiB})1_H_fCB2o`hv zjx9NPHISjj!O(xJt$Q2u1}d|&fX+je`xfoPQi}#Z*Xzf_g#4L&EfODwHvNn zPuTfW0v&GMYx~K??q$nt7js=sFXR4qTn#pIcZc3!#pFuO z^plGf>sJ<6L`)<_+pL;{ZEb~7b)c)LfV=|7`6hw0hf#)viRA zh2n=S$!VijkR?H+VVlK_ktsvMKMmdGDfjW*mI?Wqp9rTqGM)g(G~~&!84RXpcl@9$KmM!r<7w?B{X2b)6c5W|u<>OwX2op=CVP@YDCH0U zA?j0-Ec|gpv1`Z@kP3m}))#9t1}PAd!?XY}NLDoC&5fWbrYp9(Z_RrTR(sE7H_j@Rgg$L|JWN~F<-Fhg?}H%%9Yb`=^+VJav&n&NO)-u6h>7Yy zXh9RgYL+EaIp3&%pNU5h#D{nZ6_{TY>Xnz@LrId%yr-lGFy#C^P*{fKygMeGjUm?9?k8YK z(1q~7=p=AgNf$O7?l(jYNmvC>r)UeeILa?N=6jL^MvK`Y+Ih?iTFrUD$|t$}vA5?m zwG`a`0N4yN(IoZt#={_P#DAM(sja$CS~2FIA>e3QK0{}HF5{+}s779%NSX)v=8PL4 z09#BD1NWFuej3|@uk9x`-6E&g5{TQKSr@+<%0MJvKqtZnYPxHH^K+h=T=L%gJUS4* z7%-g{Gt^}iJpE`hlW^G9;08u@k<(<=eKBR0s0+_sWTLmLO`r3k`o!m@>4axaZWsyy7ke;`Q4q+zx^w&aQ+ zdAx1hz?APWXTJ>saKx9Y7ZTi>6f_9Vd`cT$1QZPyLK)jrnFxD~1ExYO!=%V~S->7140dqo<(~wsq z=eB|S>nHacBuq=29URY$d3$>naZ6}kwji4;{q3ewFY!grMQz^Y&r3Yjl)lAP`}SKZ zVS#BR3GS^`G^&f?!q>T3C&P6@L45v#GEjg^LVWb(@h}fH#$j2>R1Z^wQ(8Q>qfD1u zVHxg(yAV+tnAh?>*2ayAd+YdDWqr&?_J_VpkV+R|>jbloT(u2sYxxQ&PK8Nf>1e5lWlW z;$FpyM{Am`$zI4>osrk2asoUm@qsST_Y+z{1G3_h@7V}>@#|~L;OkH)<4hPwP>sYyD8|w4EusZeZ}^{ovtn`l0ioK`c{_2(D-kJtW6UpbZo2Zai!f zCsH?(R1uR!A17AaAD>z-SiaN;NgwWV1qa(+9HL*DPJ&k_EH*+{f_(0<47P>lJcC-N z@a0_Xs0y-fiOlO7sm_SgODO=)?!?_l3fJZ6A*-1a_~}|PYQ$+r{X4}+C;4h-7$t?# zla2w(>toy|S!ZdI-sNLCHdWY$7IVoqpNcH&tJ<#k5uSHxhj|Gs`v`C(&d%^2t%d{` z)zwJj&A0|xPJEHs|AgE5+wZ5~$dM_n*(hsX(nHqd`10~`<)}p7vlX{(SZy6mzjnpb ze%+G1r-nRrb%uEmouxJy7!Hiv%_;jFcw}?0yNVs;%3Jrorjh4)@zYr?L$#sDJ zn5+Z`XSe1KXMShs3`59s7E6~~r|5}Y_HT*K(smnHZ}ps)U)8OOeWKowePv5nSG8vD z2np(XY}u7;(xN1NwIWduQ_GYW+%{FwqQAZp_V(eePTKAb*fg6YvCD~~uSBlckl`W| z%$lHdqZ4XKY$}qI_z$wSwT`m!Xh&Fm%YJ|}BB0KS4qIXZeWqo~#N9_?@+D*(4LY|4 z|9p9ZHJvvSR6=O`DlV4CcA#fRX!L6&e$o|CrWZm~xk70i32h$H`$mqpstJbIMKi~x zus{%X?`uVIL5ZwvAy;`dnt)5%!;3FOg(L6`V&SYBbMXuD@aF8N=sOMXsV=NJIturks;*vc;? zz{DvGlDs)+6v{Nzu}6af0UH9;_fa`(K`zHe z8_Wd2HD>~MbEm6`BuM#^5gS(mr8-?AI+2ri9+Ko3O6BXaVl)z9s*Z?PXcY&H{qp(H zzuZciPt&tCbo~;o{d+f}GiuZ$R*DdRlpuPVR7b90KZed3pTJ|4a+0?(j8aBNyznx` z>7;V;RG7}&OG}jz$KTKUgp0=rr(ve&2=jM+6`R%GQn$J9HQAj&b@c8v>lJnW{e#Zt zvd@k#ekcFwc=3kKIiDQD)jxyuJ+@t_Ln8#(}Q}=}Tv4(L=ONTM~5uQ#WOAX?QiM-b9tqJo2IWyZo#JRyG04L~< zO|sY#lupa}&d43ta5Jrjq@}|C-QI=4p~BcHRAN+TthevNY;ft!v)5KPSS*^-=}Ta< z@!_XA8zRUou*dFC)&~}?>NYq$VfK9{kD890+Ojsi{(aT+hzv)^rNFmFqhSb4~~(M943iP+10OjOkPA^eya4G^=;9B6{>kH<46P?Hc%mz}Fd)oyvR z)8ev4V7Z>@pG7&0Y?!KApt@>E|4Hjb8_{J|O-(>u0`*+hHIBOXw72zA?U6Vf$A+?| z#21DDJ|S4@S-b?6b)%I!b`BBO&R9;jlP1pxd0DX(#OdAgH7+DLmDMGKDx4(E7uxKZ zQXB?|=P!IG|BT0!2~#q$grLW>@M1CNlf9;yu*(1Z@>$V9N1F#M@}lD!83D`Ul=LBH8kk3V|c#kLMZ59_^N7k?hat_!57HdIzg^#Z!C!yC!W$X z_Kjx+GFgBjM`{C{|AsNyqviNZla1~OaQ9Nkyl6Mnq?tHhrtVJ{HCdII5pmCFeMq4Q ze@_JxaiyX0c=TXh+Kt#G-F<0bIF) zYa?Yc7^W@ROT7scv$7OLqiwfmrnhE+(qp4D938y#N3ZffLmHve?gDjGyT6}bZdHM0 z3X!sjoD|hMxKr3)3apvkWdFJD7_40p4kx<2oIH#ldaOU*0EO+m^p#wCH-r1jjBN4+ zCep4q#a`^Tk853>PD-a89~(?Q?*K0p?0yDLNFKXNc77_{S=o69;$9$PHR=_nvw>$j ztefpo7FDmRyv)4}(Q~~y#L5r8j%Bbva}CZkzU6vyAD4_gcKd%_xOOgHtYLp1&)^~= z4dF0{HcNKr)|KCf!3@n=U`SSoI| zrGm07|EhSLk%$kInA=v;TLrz%$zn=BN6c1462nEDDg=3mX@n(^Dm1fd-+o`8A2V#O z5)Tprxv5w2gor|BH^k(l>@jVOREz!oScTJ?3B&S@QKbPIQ}ph8RLQvF$Gr#Jlrtpm zz)4Xnd1mk@ypMw=?~=yQrV@MvohdA-Y1;I}Wn#gQO@FH^GUhfZl>DZi24S;*ql1mK znAyHqd@z~}LEI~FjX2LLj0DLr>cE7k(sS}0G# zm`Rr-cu#t9NcX8+TAB{I!@e{~rE93V+zGN3IQ@U)+&jsIfJW z6}dhwr)7M+RI*!y$~FAW?!R^h$d#)2$gb%^tSYJkywjOH=7rZ*=u}a@#@xs!XtUVG z!!QgvMm7*h!lR*?pkqX%w*^4LPU&C(?0t9${4_N1m@A`GZFNC5<`7&i@)BRjM?P33 zi15r^Egg4?U6enLkbcXku)&qF-R-4pW#}Pq+eHW#RRQju zlg3BUr%fqNL(@@1d91d=XHOlZ8oBE9An`lZ%@g3;oP#;l!F4qHJGPM(!Ekf4jJpbH zp_+}}SfqnwA!)V!`Y*VQr0F+8kTpWssi3J3%l5(>f^#A%oB$Oqqc}-JfJ=B3^*kr{ z1cSiw$fw(|QjPjqkXO(s_CxyY_4IWAzFzZ_Ji(l-!?%MN=5pI!b$v1P*E1#6QM7{))KN_6^D{h)Ec zQ7|yi^T{gVe7y)Ebk|*G9O6VFljKj=-9{549+uV@dY?sEXq&Wv036Ur%KQ~0PMv7# z>w*a?`Wq}*)TA~49S)*u76#t3DI+Sc3DazaYu~xla`2*Gjpa?doJbIo`IHWJL%rG( z48AXuzi(s9H|{oroLeQ=E#CsymaHd(O)npN>7+}-rK0_%7UK2B!#dPVPKPUxFTikGb zCUojGsAUIafaU$b24<1DL2`ur%vqR^`%c@CM)o#AP^S(DRgbcQDM zq*TU(;i4Z>DWlUiKTC+c6p1>kx5ohJ(Jhdr^(FnaR=e89rSFd)+Ro!@H5O-cpWn@} z4XgFvq3?B$W$x{&R{1Q;WY5j?dr>s0aJ>i=X0;jxYq=(PdaHA-0QDmb!Bj~G##=Sf zkxe+PjGzF!0x*roM$r?)9j`9tn{xqri%pc(3ol-O55A&JEG-*w%*m(s%kGSVtlZb3 zQ-A;2Z*V}e>8l+;YPa&K(s$BgjJ`Bi=WG!lL0PR4aQz^Vu3iVxy5rjiLe)g36=Kq1 z){ec^3*xYP;G<>TsvB%FtOaDS=L-k;HA|g_JJQffrw}O?HMKQONjI93&eRL83a^*? z!)#=}Ir)*_j73GYH$Cf<)?{+4gdawUtHQdOQ(8BK3pB>)T+M46;Ydb80X`qH{4Z~M zlJ|vVgS!RS1S(4Y`& zsmH1Y@<=%0BD`}?put%56|Cc6X~&4OUz7}=a=7*CYtccwV*v+H;( zx1sd*^MkG`v!={~5g?U*M*o0VDdm;n5ntwYaebV=-K^lcovJlvh^?JY5p~JJUGkcTf+K!wvWyw`lK9ZU%=2Tm)@{~{(68tAp2n$ot zoObe^-OAzV*L9SSTE%l@#6BKhWh07eZ~&?_F=^~O<2Jy-CC=Ec+xhLR2shYnnq!Ps z>FZr#@aX=X%^CwwOns5p*ct9g!0gm=KQa)hlNQ3&$6y*C8B|f3Btpf}G; z<9XJ0ZEBNg+$2Wp2&3ENFNCD{9f(}IQ7^_z4>BZ>EhVSH5Js?_>zZarGVTT&S?@NF z2uT+fT7U(g;?Fw&QXV0sErSTJ7_?$|S;c?7qmPF>b-cz1sn7ht-INeF_`Ehb`^4U( z@=SsOTTEw-Psi>S^V)uuI$Ss2LET5}OmyGdI&}kox`473jMPb}7u1G+%gvC7jP9Pi zOD;c(FFRR^3gpbgULX?N1I!Ui`i%$eVP4Al&|+6^t^lnH8{n_90h=NXm2%w1;Sgck z(A3Uvv}VRlU>LCB#2-{ci{HEQ;)~>gUk!LWx7YHbn|yLuxvTvt@xyPW8SUe#eD8>O@FZYQ{r>PI;WfQ0S7_v6pxd*Gn*1-E)AoU|=AC)E_o;Vf zQ(cWX)HGlp(hG!GnOn_@Z0&BKn!a)n5s$oqe%ZRbHDTLwt=qPrdIt6UO^Z;G_4!$F zTJ_Ok#y(ve&NeoN z?=)o}a#lUbH<2Me;zz)v+r;-MgfgUcc++rxutp!F8=jt^T6=*N-6Gc3BAW9X>yFE( zS3ZNM6SXA{d`+tzgA~{F-Bxagr)qFeygST>C>~77vV#c(!{^pn2z-U z>f-M?ObWqEl!I#dGZ{JyEjuXW!F{4y;cx4sJwy)JFBiLw=3L2$qUDWDt^%Vs`sKND zhi1|jAA1xOvMWT^LyrR;CE5C_@2|CSbT(ICBRgRszqP|z6KJ}`r$PL2TvS#3u4fmM zcw7~&yx7wmMgMm8sHh@o)W=EXkrS(BWmiUaf!JvTpeM0jKj6wGb;|w<2^Q&aDkTI$ z7I%G|9UVK7u2OZdxXo?*aSr&wEcjZ%(6zFmDdx^#D5%2E<0DuYipFHDSGH9S8ePjV z((r;L4@TSSi@8Xe&KKTBIeLk=BFIZc>s%y5*i9+V?tjElYg$4<9ypY@GY8u<5lyH~ z)Qw~V%NtlZ{UI)K79#ga0*oTKC{;53(Hij62$Q8JbP)cuX0=%w9|Tplcv@DvrDD2A z8o7sk*@c_5Z##c2wjKGWG-ux#58al-0AWYcCWUhj#y2pM6yXb z8tN!rWBS+E0B$!EYv0G69TVqMrLYd>MC%bGO#~uCa(S+4$49) zRh45%H|eD@lt9yTt!uBF6Db|4;w8JOvIYme<3s~h#!qrJ@AngUFhAlat3!=b)|ezV zlx$R6xM7q1RS5*=q_Lym2r~qV&R$8Wmb$$dbut1!pK!D9u`a-|+}1WUx#*g_)i5A5 zn?tBdAFBOYK}pbY3?;VA!!t?K7-TITnj$qez1x-yaL|`fh&y;w#&h}vxM8dw82~1S zI|j^OWtl3pQp#T+P|l6eChN=%$b+70a+t1_SevQ}=H+uNz@DIVB;vL6t>A^y6Qle! zNxY-X3iC-xUaDqdP>#=!y%GIUE{cQ$w8`dJT;0lqj~ff z&^D(T&+X%C^!k&}mT&vV!+48ef45_g`WroB$NOtQ&d=k6f2BidqjHk>Tzl1KN=dVg z&7%h$Pur`rq&IKeX_Gt;fD1J(XC-6O?Di*>y@&5$cC;b@?hENt>D8SShV zw#b7|363`^Aii@EtqFU0mA@8Qf*84XwV#ycel*8Y7j7D=XIiYS0Ammd!3H8wp;Wja zTOSHG>}Tuze4Xx+)#JJrNqR!#9mTO*yvZf!h~sl0j)Lc+$k=Ags53!3oQ_heqZ@!+ z&Pk5&Ef8IjpGQCEoa4tQ^o{SB5X2|2_Po$B9XWuM-*KJ?V=>BLAoji8GrG4od3MEZ zVvS~-BF~8^4PpS6)R#WYo<=VYBf9ypdTpW0P~lj=o{39BrcZvv;YE5TO~^JNmWR3T z9mXgb&>1wEKGi0gz5{zLhQgnw;>N7f5;3ZlgW4FJNWbsK1xbV>txlAt-@GaJ!Qm9| z0K>eUKqHYWz?*@!@5pML;wA?!m}-P09|4k!g9*il5SeQvx*9OeFY6wI=1dH=Xga<7c*SH>P|JJc1>z5)4@Y1t zh0|QQd^zr2QZhXhdXt`Cj}M%gF+gO0bDJ9V4QiB^v8anK5g2FLA6u0%N1^1%(X*OQ=|zkfR6Zm z1)qM5z$zO`;>ZLOyIKwgyv}w&2baa%gyEa z!{SChb0_je<^w`{T2%BT=X81 zi(1KN7HOuUq>VT5tj-YuWZFch&A+9b%30Os zi(+NdrSkfd+|@d5+4stnKS{1sU7eUffKI`@)ln`5?uXo(T&}U@fH12qTwV_ZuzVok zOku*3_R2E$3H`6jC&}TZUz{H=qzpGN0vTET+9mhloKuHGdKY?W>VW7B zq|G#PW%)X441JS*X$z3-4rEeMolFf-E-Y5kt@S(Hfj#lY5e&Q=a9a6*{=9B<1quy) zRj1$!JMzl50#{`1KjVHkC`;aWQ-6A>B7h)({uO7rQy^ICG5MB%yn6_-(r@8?^i0et z3Fek02PXamo^r;L+Mp1VUNqV&=#NqSV{hE=c)^Dz@}IXuKFe?jIYCv!814E&a7hff zZLtQ@BAxM=Av~xe5S2M#N&l5LJp2()Z%{?zKp-|3|ePJ1Mopc z&uii<=?amB5^I2<2)P>VVkjX>--Gg(j%^psSbsq>EHw`{1Wt+o{`#mQ~_Ib2h8kmL4eKd#ivHt2OPHd?ZSCwTD8Ic*X85fQO=9rVj_@0RmL@1zO-{2$mLQ|+o zi-A&z+t`I*sHK@`f~ijD&zgXj2ko24_eoW|ST{jdRW5uxqwzy>|M<&mI)EwDOjQdvK1XQoQlxF{K&1haYKIO6h-6g%l^uGC5JqWW|7o>9RmKse z;ZO`Z-e76tZV|ChZdvmsi3(!h@oDy z==9`azZ4v&r>vL|bf=7ZkL_I>?o(;a(>b8JG?y`IMdnPEc2#aWhEslAfwLuS6<76b z?OBU&FmuHphc7n09&{8;sy*J7OTwB3bCtM3j1>b{n@*+V>SB883BRW^y)j&D9^R!2 z`IsE$kkozwqMyIyajdFGwew6Kbe~pT!ktt=w4Zg{T~~{pBnI!TXrea(*~aAOw{3TW z7(W3e^|wnt53XI?lmv3>Kf_E8X(%t|L-wD`AzNy=%3x*b=rR9k)tPpqv7B zGfMHW`JZ${;b=A5RzGN>=-`@D&UKVg5}_WX&P~yt7QUB}W@U7AArXaXNMe>PWnt-F zrlC4yrOAg5v`*NBhmw}*HC@Q$x*a{NlrjD67LjvqEXQi67*Cqf4jYxH z9aOMk$h$`kmVImO#wbhvH8ee9g?}Y&J0{DIa!k9WILHV(4T>S)&<6%s z0{15!LYd3}{xw@2rf)iRBzib-0+yx%oV@_@QNAhFU>J%Qa|1P);AJ#a*lu8Lk|~*vt*aXLP2OuheJ)N8sG8aJKM9uv?szdNM8tYwHuD$? zH8^nmEkv_6Jtp>5Ym*-$PBat*|0}dc=GQAn#e#}7gmRIiea=y z)#LF2UgdIK#^w>0(^SV8QfCa0ZkpQpULyzQ)X~5#nTE(FbTX zaE6mJnKI~L^(pZN5h!6ak>0j}d%?vrOhgaDM9*edVii-xivXCFH(8huS)m_f@63FO z@XEN)uw$4!NW=oU>TQjvBO}NK*)54X-3&Z5juQy`rMew*WAVH&&s5;o$Tzhs7c#(! zlP{)|5UHf>Y-dMlp?HQ!E6F%=(T8>|ads%{$5V`-GJYQCf$8k2PNqAG*W-S2>c$Knkl%MMSlu6C4PMdIl83=TUHVSJN0W)UY&E%e{KpYIk_Fo+#^<(aW{!pL) zRUf^UHcB5!#VxUdzvIH^bx6GXr{-@6`N>ODb+-(oR>JXq)Za80$o4k0&^gmZkV^=J(d=U>3r<=ko9J2;6GzRTd8d@n1E%4 z+iP%jhG(a6;N(J_l)wB}X^02MVQc&RmG1(8eBl^pt+`;4p-%qcO8GBQ*SQ1o^!(Yr z!6N@G*l*>;kJ*kioQgwbTgXL;kZu3P*f})`0(9B7Y}-{`wr$(C-DTUhZQHhO+qR9{ z-+h>viI|7^4;ec%@~pF$&yEWTYizi+UO5nuHYli96G9B$Kt4C2vhsD;@CVo{#WZcw zx8N@S6~}jA@Dh<4+47HY-DJ4hf%W+Z5(rG1(PKs-2F*%wKrRtX4b$U=8OIcvO@2Z0?Zh|L7J#f+j@6o0{jiAO-PBwDpis`& z+`V`1T60EX*%_k_%pv8z$&t#E&K_A!;D{q;D8oENyFVI3-yPq25LB7`+_aoN%sC%V zxYXBf#jIsl^sa%N+Y{01 zC}Nu&jVK(_gOVh2V}4Y&BUW3ruf+(n6qnHvZKoXSWQAa&aimRVw6^uI`1ffWdrq_OQQkb22>hg zRtSCsyWcNvzo(IqIcQ?fcrGTtsbVQGUSIQ$AmeJ`ym5`!el;AzHel3lhC;QXS3GDx zhC-Cm#0ExY4j*#eKrST~a#P1!i{@p{EON|IcpJf0v~q3>9Thi1sZLPVo2VTnu@IJ4 z0je*Zx_LP%94D-GPIxEO32-V?>0@_E`EPPdN@J{_`A{d-)j$xi z>>>N(*ugTg#!6Vrs{lP(Z6#srA`B>lQb0)%_-D3)#>KBSYhEdmz#@p46|igCUsXvf z1e32^mRlC3&MJR5!reb>`Njy~I9;7$i&7@#4sdPJ6kR5W#BQz%^8B`a*O~)rWtL+8 z>?`)VpU;*#T~>jF;ZEXmmGFamx^a^^ir!9%ann=RWxVBXhTaz=N#{vnPF}GJi}Tu@ zI;E%$55kvsjRDFPwG_x=Wl;$qf=Ext^T`ZThm~LD2kA1%ovA|=AV<+&5pu4hg{H;-a~obeNCBf$tkoEm(}H}-yL&AbLI9BcwxL)C!9{b%qKi*wBm*D$e z*6NAx`=~wrZOyUup7UOL|1HZp6n)$z<^{QnAJ1)2Wj3WG?&U5>TtCDF?g?ExQgYNZr*PxU`=(T1)uQnv}!? zU4aM&y~9M%^tD@J{^~K_MBB37o`RK_krN}@dY+j+_R#?@hZwOoE&u-85sS|P!Ke6! z?ziS<*0=OiE~Wg8uk)3OFLAS$528CuGAm12($Nl20qvO{oMx)u6}HyUyc8rPOIrfqe85bU4XiLZxgwX7G85k$xY}x5*H7}^ zSn?W0g_DjFwcd=@M2zs?8s7t_py6ufkOzBY;It*4t*5ZlR^ZDKP6{I0viY`i*`%1L zU~IPoKd_e7AYB->*7#-hh>*i{?u(=%>0|7rLN}#|t)kSDHKR++<2B*(j^^(Y)J_fw znc7{sl}39Wuf2bt;9pnHM(!G6#gq~Qus1=49;KK*NUbDtLic!0^K?t#J186+>;?&m z`0VwfE4H({5q?4+k~P)Un@5649k43I?TC7 zUK=JT6S~sIO|3$9AVSv5O8f$Eo57PDn7~XF?}S-`JDG1iNTx9Vs~0SxDJ;`EnxAoV zV51Gcwee&e4!G{g2&cS3u&Ls0d?b9W zBgF-r4o^5vaZqIudU1p|Xg@C$z(ws^^NDKilF$uESJW(WIeiio1X9+!W3#4hI47kS zxd}eNOeD1REr!-#aWjSV8*~LU=O`cq+AA>nxh61ix z5^DxrYFV_iB=rirJc(4$*B%;|bRTEUbI0mUyl`ON2U?&bSaWsQ0E}}k;Kckve)SO2 zHD=2gWkAZ* z`AE4^OnDDbl|t=`ORZsAuygP@a{b6OMy527z$Xw3JRf=Rgnwe(75eYcl%TgoIXA=I zGC<{K(Gd92ieljV$Eq_K3OA$sqIy`6${k#Bp>C6^NrqM^FeDY4G|>*jLr7j=ZY&%3 z&qbO%O_}AsX?{>%0zSK%M|{vgBNv4mGrF=x{zM(zGdD-|&@3RYd!vJhqdHNP?8$gra^d3jS(gs}0fR#h7_96_bt1kE3g=xE;brpe!OaeRn)A<}Z}X>#X_ z?Wz+~h&lR!O+w`Qg_S9C@H~M5mmFTaXoRz4F}|oN@tf6VEQEi?AsT}v0qd9(hV588 z0||c!UyImi+c%)fYfr&S9fh9^q1$h2lq||PUp2x6m8>v$^|v?)wg~&g_tT1{-qK+@ z@-lA-O;M%Ret_$)3&r5#gq?R2b@=o5c}RKcwQLahN8Kl0)@lHsiBZ&*0U-fzJcu?6 z%0(Gg01j@XP_q+la)Yq775bX3N6~M?`-H|{dJ~jc70ATw@OZ%}Gdb_T@p)yc z<|!CgcvaLx%LdQQNt2UVnd?{X`h@yLAIq(zj$MP-PG2&r?J;(7HZgKpKIAhozu1d< z4RP*xz^i#@hiTVq(rfvJl)RuG`5>|b4r&(z@hnY48t%lJfqMuiCfo-CIi}C25nG_f z0wtNFVoS^kBbLk~8VET3k4%DGt$yoO^-`Y>N(YbOdn!O16>wzWlOo=wPCF2H?sx0v z`Muz=xaI<97_o8=M{|LHJAlUvLDhk-4y`0rM@` z6c9}WI^dEr7ZETr1gvCqaz+i$c}YfWWh>T*aO!kqr{4ziHyo$jz?Vc0bI;&YLi#si z?OhlVfG3Zuhaz4QFYAqm)F4O^{7zyU*72Lax!N9P1~|Q$$`nnAaiW6;^B-0~aA}X)E^Yi99M@%Ceqq8%X%Ap%6s8l>||7 zc|jtx;;(rR7f*(z1V=(0uJf-RPVh7oQ*Q0ptQv-2_O>@%ctNccm>gDz`*N~(7BIB;X2Dx5?{Xy@ zyQzZP86#~D-rx4l;Oj2uOdNG}4l;G2x;3W%dz@uUew^PJTIa<TO!PJGp|~LWNqT$J2s%SXT9-uiw|59 ztCiUKoV8mudnjggFt_#Ty;A$B)Ja-p84GWS{rX0%XF>OgUHHoyMJs{w%ojTU%S2z> z*ty&Hg`MUL{J0A_Rh$jS_0J3=-=7=zC)0i}B47p+0ebv2S}Yl-g29U4zhb3~6Ni1F ze}M5P|DBz=XevM5uY2nb>R=g~Mr{pf&UTQnwO5W55!Wj*zg%b4FOsKJzcM_|DUmo2 ztne^QT2enjpFrwl1=njC*Qhy(c7{G1uQQF&Mh=%ox(g27OJPhC`>KoIRU@QIfreG=;Df5bu2MBjUHMHJG`;AWboOro{r8w1VDV*Acn<<=38qL_aphl4@S>#3F z)ORWJ%pL)ZZvsD&wF~p%qYw+gF{{8`3ei zDW-(`ok=^zWD(M$Cw}MZ$>{i*%`gF8@SxNu0{D>&Ff0fD$929*4Z*_EbcP_ZlAtRx zG(29a_E?9{@ADtm3P)n*lT^u(GYsKwjQoC^R-6QzZW_;*#D%7x7U|8B74`qbGmKG@ zR@V;=B_ORv?0>~`#UP3V0|-lgzV%5Fu}+U54m62#Ko^$kMkfqPtb)`Y-B0d@%o3N9 z8dMjHNyb6a`yHqWI{PREI3;I0iU@h&Pxv+YwVX{uCkA2b;1?ZR7eK#=J=#q zh0M9EgwOpjcMt5Ek7^#mnl6Q&czr11<=LE*&V^AmvI-swr%B+I0 z!x#X@|A;i}{`eOHcj2pI0w|Jwe_75GU9)#4XkP`o)V3{Y5E=MQnXlCa0`syRnj@Y_ z#>TUBm0kwR&ZKDD?$=0tYF(*xbCsxQ{kJ{mZ2XIheLGErAf#N& zSmQ({k>>&zh4VlD5?q@K1j&M~O6_=`!{yj#C0I+Cb#+d;ezTjXt`sb5p1xj@!anJ& zB!=jry>`iME%q~>P2AJKrO)JE?R}v;8JFj+4d$&syibo+5B`acp+uULv+I~l=YN8v zvDFe)BKF@?>igccg%qdSE|e%JX;K#_kcw`=h*c`8Ejs2uSG}S1l7eI?40?(|oF2&Z z(If!ZG-0$3t-UwjH>eI~)O8&g*p1vHg$iMS;rr-(2KC;Kr(+!d;xN5EZQ~y2g{yx^?|Mqw}TSpZ<;{sCVCCu`0WIYIo`E6n58G!1`fEfe; zepN(uZ;bB27VF=|YQ<#R&vNH{f>W!9q#lT((feCB{#WGQ>s?g7tQlYgRVRRMJeozF zj{k6RBVc4T;qHA?ZY`q+2FFPbS#to;c+DAJ@1wJ>h?d9p&mkAX~%OSai!xwofcxiuP(Xoq_K^=CrgOMa;u4GPv^OaDGA+m#>~;qQd_Ru)=p?Ib=-F!4%{;E zx63rZ`;!Hx-*a$*X5;91^O|&cNbX9u-x+v6Iqv5;!GXUad0(As9Y&a7oPApKX4-aJ zdf~b-Q=hmLBTF6!#KxJgoP>D>@;3Uy+wwv)f6u!ODbjV$V{N+D)O0?8nI+2m_{Fp& z44A=Rxn!&LE4&YQ0K4Ud*CS3WNESwe_^+sSRZeTEbLo*T$&1Y0SdVBl7XTO_wYy96 zf1|nB{!cX5zfPF{PIE2&Q@9zA!fyR4Ep7Dqj=8gk%*fZNE)4Yi4oHBNs;O+-K*Ryk zE#*=lPZ~3hhv|D1jppj@s^N~l0gt>cLtPoYn4Vx*rMO&$qq^gI8c5KN?pT#HO7tLA zD|p7sn>w zll^)i<f?lIV;^VlB1)HDa zj{cR)g6(|Q%2W%fJ@gG+^>(CeKbtN&TP*Z(r z#>imRBL}s$whZ4HY%{4gLTf=m1<#A6mEu-r;@wJ$HFv_)M)TNG?PpsPnG{Z?^R1NZ zPxx#$+4X38Ht_Vj|5bLw3AA#Ljo!<*eVxXEO0$Jn#deD6O$8+8wlZ+D^o;X%*{)G- ze>2};;$8K$fa~Eoxr_}H$kYDtDCmB1Tc}TATuasQY_3H28bG*qwUh6Y60Vm$-GThp zspkem^A9`6evkR1fK1$s*wf58vLf@umOzA~hcFbcV1`xfoLwSUy^?s~?2LC|B>PC| zlchaGZOlf%{kkV?i#87?4rP{^9!Qf)CnvhOOMDGAWL9>rQ_NSL?!g_(=pn=8oT{#Z zdSRyqYH>1CMF??K09ct2AW&G1)Gr}rjHJ}eXzHftlqE$@`Qz7jc7}9XW{_abJZwM& zR*}uYmb&J_mZ`FD04?D=tU2$P^xWb(75umZEVZH{E7t}Bd8V@{TZc|mXp3^pm-?Zf z@dB6CwdNCfIE|L%V@Vbtaw^!0HLLIchU?nDhrmQYG4Z;`TYuTnIA!|$`-d)2{|X7y z-WkFptyEB2y?f$~EBXkqzM}W86v&jx9yNwSlr|UG9MWh}w;thyeR6%du3DdgVRccRRuyRgm8@)3406WJbIba+ zFf^+8c;)N$>2=;F#`7`j;z+tLY0UC_+GgUUs*PFH@P6d2#|CwjUCevrt@~v-OQzWR zh0f5;hPu+BZ>3MJX@zX=64ZhYq)Lt2n0c_Z!)NFL=xjHgMO3Sn4s(yEIeS8h=d=b> zD%P@YAXXwP09$uu?N*HFE5j&h&F{1O}<= zQl`U{A6wsRifM#aSV-&J(Z%l~<9m|;GG*6R8wqHz_$I_tZ|BJ}30}=2=9_p}K6`c5 z(2cx!z`AJmj07Y~*Kdfun}>dAH(Xny7rbtj3%Gd9@A<*%nYLEql4{V5 z-`}6vR0w3eb5X^m)DKBSS8KgW^ywm^^Oe&;dAH3hOZqIHc481pw*t_ijqkZhh?Vqg za!(2MQ{=Dsicn@TZ#iM)_w<2jZLjKx#gjZ4Z)#pTxho`4K@Qx_(vBR8_r3`ocKB#& z!e=p?VSb=Fd6>(T(qhuL=#qoAM@Iw5=?y(U;X#=IMwq<902v)h>I0 z>7$9lI+I1M+4F9uT2dFyeJ|jg2)s{>%P}BKDoYzoqPnH%ShhLB5>O7ippbtbNOGeR%*7Cd`r<)D;~nW}J?xe>pZRIapeJF(A%!55eW6~OzTBETFF zzQOIp=m@!Imd3}p!mFg{%=`AUP7rZsL^BXCf8&J7XvI`6ReA@DxmpR$-Fe0p4jBm_ zbn~&Ok2q)6+ot9-`_eYQpT(RfD6pwH>T1WC=@LZkIR~(=@YMS}qu{BLDbU#z1TP#8 zEUB2x?Ap-5w$vR?WDgwaJe1yymm z=(hajE1oR{N^$9J`kMRP+32NdtrXA?4ngLE?pHoee?K4Q^nTRSgOT6j3>A=bb{=XX zQ?pLRb~J)jE8cxTI5=vuR~yJxers_?eq3M|7#CM1u#JbSIM-Vc{gCZPWXPKG*zO^Q z+Vibe^|ia$B~+6t{q+oz>$PaN-lmE81Nxnk4U$x@H#&o6tPkJEH!xJ6ohmKXhdH1_ z+__Zev65d^&GxV4Z(oR^^9kXsh4O^Z)J#!=CRBSG=!!&YH1tcxr_u*KW*C_~@1G|; zJ9}b)o@va5IQGX=lQysoHTyAVicRpCJ=i=kvJ(ds7snSrAJ$np1MEV~CG?!B^}AUa zCt~ozm6ch-`eyw8rACN8()arGPU!2)J{u$q;+f($w3W~_&5WHRA1M?O;JlIt(D#1% z;UCe0<9kWpQ+M|5a(S$*%M+&KLzT8=@10IUW4~e#6ACHVQPm50 zHL#A!oY-Zq!zgezcqO@VNu)t`k=ldldK+F3rQ4qa2tV+)syo_?BguZIaLG}#-?*;A zz<%>J!;?uk=(!@6P=D}V5Cp8{1Kr6Q%7#s)2`S6A zO`|k*JdWV-qZ)uIO1}saiR3oweyn|ul^Cci7Brcr>}EJj9YQt3+m6p#tp|d8$<5OW zHn|$6zD{s@9gIwa8Qu#iryaTC^K5zAxkJ3TN$q5foht8RnVRQQS1b*-`Icfm*zwRl z7pmq#sc95f8n=5{>inaTR3h8`n-Tm7AX4rfOwI4mQN7qj|w5_69j=14nBO zJeoNoku=N;x$8qk0g2C#Wr~8Mh*gcp=)zK51Bll{N-UX@mUCshSO#C~9 z-vP8bwsAcVo_gu`$X=Fuo*zIzZT3h(f7|14LDjOWogH1eK zn%=HcT3{A>dgDahz5prg8Dl=UOoZvARn^%VAtF${Q*Dru1_Yqv#^{XJ(@E*0EPsAZ zaI9Ts8Eax{W8EaR&8JmF2aO`~x(G^JLpe2mNr5Z}Ntoiu{2s{!oc8#=ZoPi^G8hPI$gWUQ9{yiG7z8}*F=J=k5^F2C1OyV& z6m_q0gB^eeps1qYN`s!M-QegpZN$$=1KHSB=|PM|LT|Ym+2KopY}Y^XMw6*!-k&pKB$A+fe3K z(3?%HZx@cUUNzLA32|M~%O@er8r9aE?-lN}W5zijueW-?I5-Qh3bjt9#E_f~U%p0v z?-68wuw}OK_wn^r?pIB*(UuVi%CEEE|-d1itaXL2)7>6DPWbvW-Jqc`dF+fATpprd77`Mk& zTj35RKe2ba$+h&4=e(Cw`x-HgFZ3!fdQlb2Z6xYrW=bDq{7vB;k=;n=ERg`-$pf8Ob9M7d02#o^bLFG*b)0Mx%!ibTKBXfR zHr0Efa^rCPpjgH!t;UtyN59MFm0f9p%}7?A(frnsaI2|rtSvc~#z0-i#3TrZ$O*Mh zI5fhbhHHbYdOH>8HxB>GDimCEDY@1XyjH84t*W_+?=%RA51aNP%7x?AN=_UHRzzLE zK#UuBZEqX#H`|R!D;N7kuU9~|7cEAOMFD`u2lK0-9sN{AdveD!cejDF>d)$->GT9g zGRWsb)$K3Wi|hKH(TO)=>0&;q?Z1S=ly6d3KWM`bRuQL8sBEab&54a?u;dp`-h_@2 zC6ud|lA)Dpi)G`MRh$PqwUbp?y6Nbs%p*RL*H7d#=VPMAM;Zl|OHJ!UT39RGw+O+o z(dPROtv}@j`#h%b8}IQI@YD5&A24u0X(YC_d;B#OG9&@9_f&Y2S#-}9D=ns(u{`xn zeX+BCbwYz0pp{L5$9W==#OSdSTrI&bC^_3sk&jsS8lh?CFE4^G*LG~E z$T>rhKWa}xQv+RQU&Cpg`}@nqc4Yti$UPNz;_Nk6Nk=y-(Hz^A^O9(lB}IU zx2HB7FM_l!^ZdR64L)hs@8t*I_DAYjJf;=NRf6Tr3b!W-$yXaqY!3~4PC+usT5CRX zdw1eve!-m13y}}(h-gj$a8|T9;OM9En zm#gxL06H>8i6H1Hn~nOm&3BTLqNe9&@5qJen?b14Uhz4z=bF(43auyg{$A2@)so%< zMmT_V8z3aJ6#Uoqy^m@QW)*7cpn~kous?zHHgJjIvNyY*h#K{>cVxtyaDmHE}> z&J0W`f~Hy16)c=PKsbawAy58G2Mh4hbPbnWm2f5gc>Jy@fy!;J&`$e4$&N}7aD<2? z#KgI@m}Q@3mC{?VQN`vggBgx_^1?ZU)?*q5gdMtxH`r@Uvpdn_elxwyPW$2n@SgjR zyNTj>B=QtvSNg`QED7MB2X7pY|G-h=RPTglheTf-v# zoT@dPQYWW>N}e47C#grTnJW>B#8I9liyagQ!(S_j^bKo+}7#_!4`{KrXgUat4mDSMk0+aq# zh+K`HQWWm6_%3i}DQZ==YyOB$)3KD>8n-g~UrkZrXe53MBJ94Xx6Z9r(RBF&iIBth zgRBq=1^)$Cm>K~UriQT%R70!9y^56{Ev(|^ezjcB6DH^q7J}K>B|Y`jFFlMj#g`|W zfX}Ie6n3@gVJ0|XxDXQ%10Gm+)FxwV1TyJjppQR_@}k<@5G!Xe#FhbW|73&yMlF_? zd>gixfv0pe0aj3_{}!23)Aj|4@-C09f?m=+@c+59EA@jLUi9+v`vm#^$%KTC13-ynv_tA*gsM(1)T&W}fu#*EjOG{)FVQG_YDlowpw%?mLG zu4YH|>6Ni3f$mW>qGLWe{JSUSye!%0s-oi0mI#OramxSqNJ<_TAoc)!@3c$p{Nd&b zprFrqW&ht0`wWb2j^(|SiYt7!dnH)aj^Xz>3t#+LvGglrz}EJrQ}$Ku3TtdjO=9fq zh?S%yQ-gE^9po3qx`1RqRMU?`>^x7#8TNU;dpDqiM6IYXm-0I#{A1;$s$R`HUH0Ws z1j@z7m2nio!_#|K@h@(tB4e_B8PxF{%`x$dJ;yWhn5)*^*7dNSbtfR)3wdt*L=I1$ zJ&kj`UN+(!;d#KV@&YeqX;WjHrdJ_y?$hmV?+=F!w`<3aO;;)5x|?!-gWacbWh>5; z_wDXgS?$RW575$MY32tyHKKFnz#7G>sNh2i1K+qft*6@qrOCUSHw)90xZp@~*QFax zG$sv-Na3+|<ySkgbl-?_&j z;W<|ksk9j*J((a-*!o01&Nx7zU7aaAq=vv&(_0JpwFLyPng=cI%7jLvdmBOct#7#f zhIO;sGr?ZbSq+ofrjsdB~0U3_EX9Vpi%{089l)Kk)J>$92qu;~|P}KJT zf*Jx|5W(-a^fBNo(h)ccG`-T1)_`qKH^L}d74$zd>sbOPgUK4nlbN?Vm&}aBjd`wR zxS4$Addfhm3<;tWEH40{&BYDUTBRU}cO}>47rYnswi;G3Wx%wn&}8q2C$!@J8;Ipp z6QP)iEa^KrA~ssBQC|`&UI{K;iy;51`)uFkmGp|#6~jo6xy2uuo=@s8K7ABb zl&cR-6N8ALVi62EexrlhF&*=f`&>iZeDSV$U?4(rLrUxmPA#=viSN&0ehQ18F86)3;+G<1M(ANpXx5-f{J2IccadgMq%kklj zydmDO0PPiGL2OtgqQatot2^(WPnn;zb?RYB;VSM+;=@P5Z<;)I9h`<;lQE|Rph>6` zfNF6!{EG*->rFttn=F*+w83_Y(y4wSgrYooLO*QC-E$J!Y|gD8j{5pdX~I3SZ(QkE zR5Q>B0qBtJ5}66*B-D`rO#vQ^#J%PGLZeNMK;A)6ILivt z`$eg>y}OSoIA&ah1ucGGtSR3C4?s@*U7LGEMW7^lz^Ku1AWcIB30DO%h@mqeiTp5e z&BEjrudkz(bdWL#p*QkvjGBIWRJZIeNf97tm55O)t}M?rzn`*6it974dHJ7E9Szd2z?9?n0& zk8&2%@Og3`lW}GJ#_5r)I~=iF{mR9o+i_11x;t#X>a{}1CmD*T>a|`!RBCEC{mk(P z0I+v{5bwSt37d$&{G(dy`)#yRMF3fFa{Y+uN8{C4Lq`77dAC<1<6npWuhRnNf}+l=#bj&q^+# zfmB)#u1Z&Xu2pi4A79ftPYZq1TPJ~HKHZzAThW#VE(bS%?r>F$%0EEzP|J@8(bb3A>zBoaMcn@K}JUKZ43nm>ojtHW1 zcuv;r#&i<`8pY(nm*k)m#hbTT%_|n6RQ35_hBFq?WzE$qnXz)NJg7nlhGmgx#2u*c zIaDvcHRp5@=Fsmix+TB25R=$3h(||KzxAFr7`tUB&|caQ`R6U!fn`SS@Zf7kMfBI3 zP=xQ64~(A|{ycsh$&D6J065_K6|h~I=@@&zouwB?)!f}$doSXhshN_5mvxdaP-S33 zOQ{xVx0Sv~+|eVVv}h+4otzpeOm_&i17RNCkSZnn}II^$;n-N^FsG?~J; z$@vIpcL6YPKY>Aq_1j|jq_>~2+mJ6N5-uT;zH_*jFc#_Szq1U_1X%1$raTRws%l9?*KQF5h$?AS1uF&tBQkL^AO@nQC+9mM}S@s$9C z$aO`IB&hsKJ=sLoG%ht=S&AvU_y?&KtDM4;^jOy!0Vsbsv?=^P6q@{BcM0DJE$N|$ z(CH{v3Seext%{2l$q+OSU;^5w#|z`Qv}OLJ2oAQ|uB^k{zg1girL8UPJe>I0gIR6> z$^qoK^ss`W_PajTJw_XB#N+;g!m%JxxA=Haoh;N`=JGx}$kf;#WkfGD!>2HE|5AgDiAb|Bj*6EVqS0s6>=QC{HvQ_Pd{ z8v&1`77uYZE68TYrZ19bfeUS+yBgmY4jgMD?^7Vr*?kwh7$W&@vh*(r#>)?6XnUnA zvEJqegZ(3$M7|O+DaJ;>7i7!d$q!S6ScADela#zvw~zkr=v|>LQ?f>Lq9NOOr&WD; z|!9O3b)-lAmTD*h$ z41DJglpPDHLbYEI4!Qv}1T44a*_vO3&!S9&- z3M<*xkUhZ^lBN(PiUv+$fRA%ggV(sav~*~!DoYYW z7ZEHg1+%mg6%rpLKA3WER!pkd@)6*D&aQqeRNS+MRlVw-3s@E=K@k??bo3V`V~CgI zP{}9YX`6@drQenJ8c20_kN;Y!R56N?bb?xas(DNRnzc`+$6XG8x#Utd(w4M9)_*sv za5oKDBAIQqQ07M^nlFL@1SUP>pQCOkC8d~~r^yqpHgzQU){eNjY138zug8T=3R4)c zZli3y|7)gXzoA9NPL_37gFwzBjPzm|FDW-?kTkGECnv_k{>4tsO>3p?EA3|YqKma{ z*y?AxOKwTS;&5g}>2wKa3d3CGqyP5EihNDH_pwAU?H?iq1Qte(Wb|IHG!ivX@`IM# z>6YjcU_&^c0u_0m{xStEW&VboTe{=6zIV|=bK28>0kTwfoQ1C(@$lBQbqY|ND~1K- zSPJ^2DTZZXf-(o0X;bB9^Zx{@Ab9(L?lIJe+;=gIc1lTKsGyRQvk*FjICHVpIB`Ks zd}tA7su*LZcNyT$$`hu%>od|~sM&|x$DQ=843R7=&0jh&LDq?>5fB)+&Nf)HR;1+7 zoY557?>{(`KpM4QE2?gW-XS}aGme0JcBk$_qH zL>{Q;p}3cxzZ+Qx8kM2(VZ@!7b!SLA$;H-O-8M^s0ly@3n@MAw6)nL;s2QgTgMv`1 z5#Sw4`^#jGFtI`--f)z(uyD~gj*|}2q5-H(;v`?@BTehy31o3irPBm`v(F>q-jY1q z4~1N`8bfkRz(WzJyvaC}yoHd%bklI2Ohv*b6Ox#Q$+PG&@IO?$_T2T8roMEgqlECE z;C3EQK(~Csi&}NJzKs2&q;nsSkUwOfxxzY?xZ-;3$s5vctlYIKQ}xYxiItg66goj@ zCpc{o+qUsgg386_qWmAxi&{{CJlYN8sR8{RY>B2b$s{mn!c?5j7J(I>1t;;L5f`I( z-ZKP2>Y_^>mRu{=*(Xv*>Bd?D_vC=OJM>C|_G1O4mg^Wrt^eC$9qDtkW8QGmcc8lvGAqRwnj4?T#7D4bF5Oa7^vo%@@DEfh!fiCp6JoFt_W?C8 zaZ)ZVDuB^wJee{6ZtaC39-I&&Y{={HLKu!oGNyd=cV6Y1U$9{CquXH3A@~`)cq3Q= z-Y88ZWjbVTCFO4Ppu`jJf>^f>8gLdfLfSCyq~y!10Rj27oYM9KxcV((^51@oXp5Bu z)O zGl#XzFRe}#RiXL$st;tYn$XPY1vKLUUrVlt`cDJ!y5y7#jM!AEri2_J`9|x~UC0Qo zztr>KzI^gil8h7C#K{;!&hx6voU8tF1E)!u40Uy0nbU4+=%lXKoB9#(cT@PHfK2hy zkK{=$aBew`j2eY{fcu`*I1>DalP32w2xSF{FeXjVP{@Xe0z6yJn(5m>J{A zqw#ETLvtqzyN%}&EmXtM{d)Zi9>_B1`GBNRoYz(yFvPigwOb&zzC@HN?r^Mg#kW}L z=r4Bjv$7mFd}$1_+f>=PjC_FHvDy3FkH|6NKcavW)uPZt=OkIh@HhEholDgXNJ@wc z^}Rt5{|rM>@H->-M$h}i%=_+p5i)zM(Y=4Qbli4H$Sqhm|A5W3I1=EZ1e!kO-(6Mu zGyxWMDbj7l$klP>yxnx*%IbJjNg>6R|74&!Q(Z8ZFA&ZDC~^cng-5&nHS8-+U}{j1 zGv2~D^s;7$BM-y@D+nZ^U|m1QF6*`_BBp^2q_8 ze~RkS6YLY&xqFbJwdUUa6K2~^#XK3Mt_QSxfK3{;8YUAo1AXyeR@{5LqLz-61cF&U zmd0+O*8{};Hig597fIohnr7BfO|D|A(!z$5pij?f=LE%^i>R>pmmln8>^2zo+C=OG zfl9L10(_tk9DZ!}fDenH5$l1*KQI00J6Yae=Q^&A%Qp=VO?Jvsp z8@fJ#lguRX|3;{>|DOmoHm3hUs7@_yNyuco~lgVA6`bO$rlr=)T{{0euVA~;QwRCPFH(l-9X;a zW3e_^CJ{foJhi1T{n>5G|CB@*g8S%0~updNzo>4Hm8q6?m z=@ZMWBAUOXeMVW1$`NyNJ02@_*=GHqJc>zy8GpP|l}?Bp zM-Z=xR3b9zKuFy*y4SdB`xEd@TG?pK)-Z_`_&+-=?<3GNZe2hHLSTBap#^}6AChjF80Xh}F#*prl*xsXH(Gk5T4rD{ z683|YZT$=4F&88($NKO^0~Vl?1dv72b8gSW(?HlIG!n3)_9Kw)NofzvQT{Hu-plNG zcu7cyh!vXmOC*8C$ng-+$TNdva4JM_BP^qipUkO?UJEgIgCnzAt^=HrZZH&E?12@D zgOZ`+T_3~deaUy#pDo&E_--vv3i5=zgvbtWE|Us0@-AGc-xbvmB|k6$M>;O+CBu~p z7VncGJ?vF(z#QJhzri&Yw_jps4hw8-4T%FniA<+I$Ezc91QGvD=O{^iM!_Y*P%6@+ zlyRN2D}c9^{>flJ%YA}DfzMc}+K)`AtE3s4umz-ot!~=&QYSrc*W;!kfqP`bODV5d z_vN8}o-b#TD=mhd{P~5Qe3A+^k&RIIIuU-f(eklZ~Bi#ka0pLI; z6YO?02C>P4{=Y zwKyg6qq1H4df57JJLhr@0zeC7cD4g|*923&G4m`?WLDjRc0v$rcZtccce8e>7cYBQ zgzj@)xpH&z)6kM1vxIKqY{p7FfbeZ-0*vzHpjhI3Sc`E}Ul9)@FKS>%NWL!eeS)x~ zIXj+rgxqpk?}!=hn^%*QGbLR0EG`*TTe4W_`7~Vt>^o3N{~w-9WfIFd&XS?DM}0D5 zn)}dV&fZ-SD0)dLFGtYFkTJS`3y(4*m9 z=Hdg^l1j^bg`w3n%IOaF=Ib11vp#QpyE1ZxC6C0jEtS9-@HRAAJ7WnXp3Rb{qrgAN z_u`ruT&7G_O(&jXbmt~x$jYc2D5L;&e+3ijXo~G)9-inc0OzDwQ*Z(A7n6@5q1eV9 zi(8%jt)YT2Z4iPwuR@CbZpwh7)yM>F;dWx^vR+a|q@@#agXKXJ{Qbw30IyW);E0q4 z5&h7vtuHS25&UbUo5 zn^btzg~A)$GF(D1&ju4&~4iV3gtuuDEFD z00s=oiFKY)`)xCeoYw}Sm}jfr25L2V7Gl5{XlF!K!f$1kwwRHx4n#}84kqiO&GW0A zmn1iXkvI;nYf4)pMDi5lApUh;lz5D{P^7g1^f(vud;dn|0I$j;oHkOu447LSQxz!G z$oI`qlMOKNe@~?J?_d#W&BbK5f&ck@2?Sv8V_vu3%p%83(253MwBw7hO; z^W8?0W!oW53E7IW$cpj_MKd|0j5b&l9Ek$u$xb|vwG_yOHrr0(#+VaU$h=zS+lA}bs_DU)K0|{?ix+9pd(S*UKSPz2J z^{jgg2wlVtfZDOMTve0h*_~zg&CS>0=)q6Qz>%WBq7VT+X^qthA^TJk=MW_~&|JT+ zsIJ%4JY~VLjRKj0!Y_i+70**Pq@OfFG|U5lz@LQu8L-o7b_VcK(Gzej;A5G<_pB(* z0>*GCPSmzF{>-(Tn@Zqf;-qrRF~`)JsdycDiKP{A{&RT}FjXb1=#WK%mjaA7TvVqbvk~id5g~B`$f8yX1nldp>-+FNb;oSB^B7w~ zr$X<-s0quQp_Pdl`@)UFAHt7P?R1Sa?4jh(J4X77KQ$rXy}T5(;G#iGo>PN?OaMQo1$@4m5PAVp_;o zLxeLfC@gTP1EIkB+9XLl%$>U(S?|56%l}p28Rt5gDLP@{Xj<)+ z4tO9gw&$khRm!oMkHb9|lkOE*+-@yvU@-hlO`GX|R$H18r91}IdmRz9b;~(xjDk;} zhO4;#49z5oz=y_sBcblug@;!!Z*K2SBVa+{;+;0Rc5w0lXJ`!*z1CPP>OdH1*IugB z!&eV?ziMyr(Cu79@G+}*(-T@o;YV6M|GhkJwwe2zbhpMb81XPGw7ON&5Iv>MLL^CT zHUt#=Lxyt2C2_doxoDAq4kDh{%Fe=K&N51S^^Y-JDfTQ59r?Tcwk3tKKB5kDZsiaE z`tyNsuru5h&pTJW9k?^ZCcNG`lK|#uPt2kw))H<+m_L0i-5xZ+2_9{eaQw<=Ylyr@ zxbgi+kkMv1?9OB-j)`0>VchEx9CPY@=qrkBCW=Jb6OVsATZgk84qffJ!jcbuKF*sz zMuv=)v(7DT2kW-3JA`~f{CR-x=pgk;+rEv{YjSh_M|ar>`o+x7TBIQF7VR7c=(83(E!nyvxkbJHs(|I&z(zg(bJz|8&0-iV>PMYA%o7t2892-io%m>fnTt zK-bO+=Uv*P%%o}T=lzG&Y3wd9!htM)oNKfZ1z{AWi0r4RW?+h`DZ=*BcBb9ySSacF z;YLm_d4{{TQHfnypWPX3%eTF}O!PDiKk9*2TU|dVZGWDB^^MLm1D*_CD#=C@@t}7e z(LVyfZYFszpgT@{dv9Ibu&F&}=`SoP?*^k)kT`$^I-NZda`DN#4J@}=;W7J*()aB|F zmG<+;s(h$MqgMX7Ia^=Un$cI@Z&1$DB$hnRU72x(1Qfjkp>Kv;fyf`eIC2l7m6Q*v zH6F#(P-mvWO*9nSy2ZX+aogfFJhm5@cMk33L-JRkiG@f7v2ZSqQ*{X*-E}LDOc$iA z7uhoIuJ9CKd203qt@@656jii4*phz3fSo^@NQf$xSZ`n}oCNq?m827^=MwTwIYtA~Jxu!NEsqnS~pJayITmNOW(tS`EH0#LL;(adog0Xk)g% zATJa7w2Yzb*~~V!(jW3s=lzJgC8?OdMM+1?^a4{J4>A#|l(1bE?&PTi-H^&{<`*{W zIH|3rpN88R%7fi5X8)_m|H&VtV-94d0h9v&;(Esjy<%OT zj07Dtad(HsHI6w1=Qe>CIU&1j{3$28iL5zQ7}jG$SXlT~?cZ#X4~>&c-97 z{S(RM6bbB_rVQgvOi0LtT*t8o{xG^^~2BK2_(QIVI zGgRFKBFpm4;ewDqPP7G&tLoW-2o~%$xl7CEm<78r$1H+Nmhp4-^2o0@%*!9LiP@CB zn-0n$wNRoN@}n76&Iso@#qEB6si z+x*>I9&-`_l7;k=keTpg7}qj@UNyUtr^(`yC^I3-3h8O)(FEeK`2*+QUzHH*nMmgz z<<_VECm>0*+Y4y|v-=t=joV@ga{p<_TM|fO^p;Ye3iVinhH{KUgVyc<=;G+(CRaLJ z)Gjo}-F7ZS`_3Fm!Q5u26VZGN`WRlmQ~QGH-)+gGfB?WCGI$t{(*OR?SCtw*PvQ2RF27gjqIZ9Gx!eW`G|#L8Hwd8N7kse#DNzi=4g-8`a?f-DIC`7@}8?u|KnV<}xDY(mz#$ z5K4IJUqKSxzZdUT~xF>N*R%G};e`!+R6?3aheneGf?zmRoE?D!H3E1%dfqakV;1F+2 z9>Br%D?k5Jj(K)t?&Z8*R+?gWaK?=Ctj)m6q&sfQ$qy3xkws|0IH$iM@L3W*3vM?y zj=}8?qjuAW=y6mgVqZ=aV>$MHlcQ>lhZQ5Frg^<^)k@~!Y(sv=J~6j`0wF}e&Iz8ju) zqp3U`I?-uwEFZ!u*-t%D@)jnD1taL^fqmBD1(#_lpw1UKggT5E3Q@>%EN@La<;gc( z)Y@xL_0N%LTwbzI)XK}6Himt z-$i(Ovf@eXKR7HXI)CsoCkBT(6P|?jEE%XXfXco80590!$<-ZT3H@B8&R&!nN*`^(BUr6+hj{QC0J zOo9h88Kw$D(!I-4Y}f%T8Khl(D(Qvv*BB6D6)5Y>0Zd^>K-{3+{apnHj%#_iai6fZ zY8PjGArv7}9ScW;qpHgFctD6$U82PgpvX!4({-kw%~Vv;2%+oT4M3ukf!2RkhQ?b( z1?n)`vsR9k#smbE?d-z>cux-O3o5agiOP)5i5-s9yj0`e(HlV9MMy*0zJIyjs4vJ4 zeRGgfk0YP*QWA@JEKg9Ta`^(62HW#|`I(J5B$T_bIq0H+l^vybY!ppn&h&7E(T*fe z?mu#0yw^aj?jz5WqMeMjZC;R308BUn679Y#f{>Xp4)i7!O0$l{8DX{k6CPkG-SpK%ufXfx zPYCOG?ff5sw%Qb`*-BlTN3I)6yzV;4{#GXAylu5;e%F9~2f@D^{jt}q=0P@`z5YK! z@xc?nGRDl`)W-FnO7;Fj2mWGoH|?n+J&VOcZ-W~W%hStNmscImQO^HZn>d}~+oE6W zJn{Z??W~wno&Z_gJLCeT%OO_VWeqvLL?L@oiu7?$(+2%SKQO-FBQnwu>!#prkd-nq zQ>Mbd-3@4c>QQU+qJ2aXhtcpILSf^gD$6^ei+Ja)qkKGFRT#YqpzG+z1?fCs=s_h0 z8zFQ5;1s5&0#wmz-59o7Nk;WKhi* zYYLsh#KhU|)rF*Jb*`Uq)s&q7l-K_`Po!X2azo_T^_x8<+#>doOx=UsPvUHFrwoCz zn+&|Kaj@XPbR*Y`EMf|O`Ta#2GKNHK=UxFs2}C@mIw+_xZviDKbW2S9G1W#GFwL4! zH1g#+g+;O8p<(}(e|>SJ(hM1d6U`7uY$9c#a)D)@&fm<0X<)nl1M-ElfL|)0KMY9~ z-!y4T!onR`>m3Pahg(R@Q(IXStrlxEaj{Z_^r3XhPhv=Vi*Mke-O>tZPad?q)v}@M zJSo8Oul`)NPw@%a)D}?*V8YnDEJDQuv-G0~fJA*y$Jb)!v5x%jsmlz{r&eUsj+QL^ zld4dncUDeFEY-Tb4!C=d{_#YN69| zAkjZdTvVfDfhGdJXKHA3R#(v9*`Id2eC5>bJY>i2VdWRANuy>PB~d)1VuQ2|Aor{n zC6a(uuCZssbTHiSlB>c>L0zz)cOUS1C79VE*dSS;LL3yT5a-JcIT6u;63Xbfr&l;f zl}|0=kPafmTW7GgR9|8^MT)e8Q%T4dip183h4iwCFXIdmhYfNjC6zH4Q*_E?BW6Q)n#&+z znwvI=830_h{EOot_r{dqK&sGHM<{Y^o=ar1YoTJLXzfuJrq#fr6xhq72^F^wjA0~a ze`eu_--pBky#8KMxU*_lB%|9`6&sq%aV6qp!?&L00v?KK`@wR|#~G;EpnOVZFxOVg z+xNLVm%6~2{=>t_7v&w|NLTx<7|9@o#zk1|O@E-2^iTUQn#`qwxAKm0!8-2lLIGC( z-|-*1-LUf-`Thb*H4?&Y=}YuCpANN)@m145EF`xdq?3DsZv2VQ)Kfbx*f=&~5OLf6 z1|jq*CwXH=$6G~(t)$N14kmj|La6zv5M5ij^}0_$s^?puJwqj_|gO?Ygf=DMQ8~I zJFl)6V(d=J$#cA5D(tVD?fkc26{Cl``bTPOJI_yv*LOVIZIg=xv$t^`1OD@P%m!|T z)_4$XIr-1$lal*UH-zEVq^$K#uG*79+=&>x{p#L=_wlE2h7Xgw)n0?<-fZgkVZ zXH?JYSDb=h13Aos`a>x_*Jj~^zxtIh<7qbS3phSkht%QkMHyk`NuPu_EGQeN#3W0&K^Ntp11M* z_!hDaf#7i^F|v3n@8rtxjAF6MlpQIiF(~@IfDwr=5JKW-5M^s6#)2rWBPl??gSFkc zoJIsO-_GuM!vI;8lO60!1O8IErK^g_q&lkM0xoQ#yqY=c31ezo{L-Cye51@V#30uh zr^l6fG9nK2E3tZzJPqX~{}<^*(nJHbP$TwvQdNU_DG5JWSC-w(h?5qBbLyag4>}RN zYnT-YO5^Q;YZ*E;hVv+rT4YV^7FIBaNc%vG9g1z!Z%N+ipU!tLByL4s)L?w0sKfahAo?q8%?M*8YeRt@A0oUFeic z^N)hn-e)P$#(Y-yBi7RqK$QX<-(Hd z)lF;@V1=t*VsprPuvng0VoBMMp$SJOB*xg+H6i$V;r8l2tW^IRbI=ceu%zZ~xK1#` z$a!$~W>CKaSyCeZn4#s>qh4RB^{30Q706LP)Ur)B#(KB&;vBti5R>-8!RHk5pW|#9 znU7w*X>E;Eq6clwiGX(;=E66Jn-W(95pAj*tVG2bDh}xa5NJk@ z4WK3*JVE06D|LKmA^lYFz{5R|A>eO2%WiOP)=Zu$rQ^EA2 z0lKg>n@v}*oLQNf0vl#+sqNi>;$(SB)N8y3KO^-NSJfP%-?B`N+VUi&$#S(bridDR z)(w2I6X5Ty?@o|E^xL3g&nKcfYPo;>(Z<=3saRDzC}dRIt~+>fR1OBoa`-wgY02Ya z7Y>eA=7L1YJM*&DAsQ!HFJyefCNAfIr1DzEnxfCQQwC6=*q+7Ulea_ImC@(&AjboD zvF}70wHbh`*~?@V&Zg!MQ*LNpIZl0kM|XFA{1_arH#~Y2zmk=7D_^=8(SCWU1kxQY zf*P%}zE!`cAyqdGN5GL25p)P%N@zpCmx6CIm zPRx5xfN?$ybIe=?a5s@CGa?}W?llf*bVj*;p5;46UDmu@rw5%M4Ud9Ur0zpam`^2> zB=qKuo;Fcy#WhV?GZVOzDgpAOK^CW#CDS-Jb=HjNUg&M3{00VO!7=g=gXmiBT7#qBpF^E`J4T#2p*7iTY;cABvOOsP)|V(t)c*uliOo{_ALuR>0cI3&8OD4h1-u z7nF(&$6$#*`3ko9Qe#Xk9QjKcs#Yy8seo21KQx_E>-S z+d4hS|B<)!K1$@Hw{`+p^phIk9#p0*2IszG?=#y;r6=cifb)&x>n!3m2`9Fko znwz+@u!$H0H70pJO`xF9@kH~`)rW|zO+^sZqHoFF1TWJuVQhi%M?Km@vR$_3>`DL( zYsJr3j58whqcCpRF3mEd z$)QR|^4=>%SJw5#F_+rltXsK*>$>}Jic>!lScsouqWdQ6V@qs;53IVHAiF2iP85Cq zE8oR9Oo#dtI_x|*s>nu){$MVCyt;+}ZG~GJ`>yJUspm!u3&Lx{a6b*3W9NYhUD+Cd zqD4J&Smh*D=|IpORA?-WL%)Y+;fv%--Oj}2+WC&jJu%-zItKCZd2pEHV;a~i{72K2 z`hbq{oy$;aP-s&Elgs%h4;Q(LYK3w|wJt8>7#k#5;Gef{M!%eR?+^u&+izqXuozB!DUX>+W8l?Y9o z0NkpkAMCHQ?#_9Gf60x`ZJ^?;%bzDamxrFo6MrA;$Nob~gVzKhg~K{{!f7@{@3((Z zPl{=(OuNIb>{jOlG&a!j0v&Cp=@*x|jYY2NFsRN!#uj>AGv;rVo$=6a%a9ZvyX6D| zPY`#A`q**CgpWXV_6Q;qNuLZZfKZuw;WOQOg#~G+?pE0_`8E)zQ z)|_kyYC7iMzX1)=r%LjXNO^3;!`wM{bNGRinjs(>EIBuy&eb|rlw_2dFsQDcmCd94 z$w$TwxeJ~c6Em6jNjzrn6PXRlItJ#C(m(5+=zVTO+uW8{WDWF4WYMJQ52zyb+Js_% ze`^FZ9p41v{hjsc$L)uR9RE{!193Ws44yf(bN;o<6Baq_I#o5}Y~W`Rk@MfcuS>bz z|0A>tfCsC%iGd2fn1SHaA{VTIT|5rX!ghRD-X}#EGIM^4Tr>PMxR8-)EOQW)KzqY( zq@ui$1{B7Q5kr!^d-P}zETR|K(Ox7C9j@U*sln~hOl#4u8rn}rq!c~(3f!MFv8R|r z@%~dw^7L8l+j*^kzITp3gb?k2+Tr&C!aSNP_a#3+ny_T7jI*`l4H~Dv=&%9=i3$hEw!x0CeH5#iiu$QqD1eN8hJ09H6#u{ zn~bAO3Lw7?CROEKw>hhR`S%MgCwk?(M)^Y+7P|b z^IR|#XU6QpYQKE^Z7;!Dy^9_ce#^uprVhTw9R#l;qCaD^aHD|mK%XD8)sSV zqAK5|I&fr^nbtN3&nFmNvE3!N^?C{1kRr$}?gksa#?zVT6&(-X+=to(J~>JG^GK0< zq#5_k@ejh_-fi!yjxZe$#5G&Owp8y3-0St24y%%?ls|$AtegKiiGmWt@WdgA@Pnr$ zbOU$BhOXb)J6eGkwaPsXSK`_A>oG?#^frXB@*p1)gRsr8jK&jYypbr`TYrG*@rUfj z!K$|77{2@rqzAVT98%hW_((VtDPqib8m%@+D5Iqf)q5LykuU}QZjS%e;Iz1+m@=wbXn=NUQb*w_DyDC7SBBFZ?J{x72J>i-aB z4}3!4J_fnOXVBp6E@#|-FntZ!SUV(-lo$CEh-7U(l*P^828Jz*!gbodKVJMyKYyRE z`SFWSH$Rad+qzE+PdpoUMPlZnzSZLr>GpX9W8QllEHGLvJdU6 zo5<2(4t4`1JdgJnY2)!P#Q}phTo=oIaHU%YylzGR{PF$JD^x;`rr?S5Vc`AjbTDC+N*ULRJLHwuSKec{Y^B* zO_!xmj{psmkruZh0rhT-0s4@a(I4TRD-N9^1Q{9Ic*O*Jqk@KkBpCyKco0ACDS-J(wE zzZM)zYTA)~=aMN8?9yu~EQVHSn6h!piTzG$996OP3@~)W;Am+`22GDf^we^_o~Fpm zJVU`ze?$2UT@JfWaC$h(YyFr+>NVdHIbt;nxo8dMy-XRW0oUHXfXPjVoZ>`i_rJYI zUH^RsJ=S;Fz_3!NC)Je{b9sfDSjjMJSq+i)Pi#Vw#ddaXQB8^A#STncVCaIlxm9t! zsdLV_6%|4lgbX<;nn^FP2S%Ih8~Z7N&dy>`m=p7{Ws_wOYx8iFg5Jy6Rj%hMeoo>W z%pi2tqJi+5EGy=q>L?=@c`Ljj3*+7<#*eCgoD9Z%l9{*J^1o`jI^DtTdS4 z81h8zL*!;44@CR2R>&eZw7{->ilYPv`b(IjgB6ky<&_PiU}4vbQDRSarzS^i zm?ziJ?_iC(EBS)Fb=^Y2G3qGhf(`nvGtS5#T&Xj_D8xort=)0OPw(Fq9Y8_&&*pHW zfUzz`k96%-`xEb^oLr|(6bH8%?__bY9~%)gbFB-0h7O_|6H_>3jTuaXwXf)P=jQ12UjXKW^#N!FP) z)^3F2OTg2uC7CYpPslotkmR5Vh})DZfY)VW&?1$cfs;Zi@FD2FMGo4XaiJEVRZ_vr z!Y=h~o^3`dtrdE>`;g1`LA01M!TCqZn$J{$nAJwx*_s#D<5|}!zkmRA^g4IlnOgt{ zM359m^Qm?d{GS7{E%!z;$_Jd3T1aXC7G$155^d7HvZfBo2itmtl8RZzwVdSbV@G{+ zlG4#N&)lnb0$po=($sK~%6@~&yW;Eeg{2WgjVGNudt1D3QOM~V`sVlh25wU>>lOJV z6md(v&S_cRt`qscPTV;7)oO1CnbVy#{TnrhUj6IZh+EFyJTL-MrdRBr6Wzld5J%Zk z^{?t?e$|N+d95{tx)uYIf2q7wvE7Wd$_W78<=U#%y51jC(l~g=oJx6{^i>++g8-w& zbf23@ROIs4TEghO__S;sbuLAM$FO;2ae+-7$9XJ}ie`eUlRnt;qDOYrXWP7ZVYXzo z3nL3R*YxMJapT8D!zGN-c)J^fH}>g6m_|%m%ZB(7n@qQD6Z_Vm?kI$FuCQxANZ-KN zbTqE#E#Yq9l^PVJyOhco7A*+U#=_C^_Ei=hi&rX};)UfK3PugPQ)lLN6_!EIV*pM0Hs$;G&OED7F`qcaR<83C(!*VbL@p}9;pWZR zIm7ZZbM$ViH7#J^^G4FX21lNUp0=!&KeO7fV_vVXtb-Z?w3r{y#V|va+HSBR)8yVdlK%!&ii~pNU zh|;6g7sCAc{Fw6RFJ}cqF`Dqa1DK4`u@s@)}eYa#I&5en%TP1c{e7n^PF z`Y+V0tNOm^KhiwHhpfkOuG3^^Yz42*7)md$4-e&<#qqZnmx7X4rkoTPdCGTgq9FmN z?$^5v4ZKQ?(O(|>?k`HG!5aq!SCOmlvBS=X>HL`Q&ow@E;ebay(>mYCbSWgFc?*wh zP2C3Vo?7JfS~rm=??DYz=VQRteV^y#-iuxIW~*FFA49Uq`)!6UiJxbgHbTT0gY(zg zPj&eTZ8&Gx2{+B44iWvZO&OiF4bESYw?Wy%;AAAv3IBls#G)267sF^AMt#GuVGk@{gx%n$Ga#%=t1 z#Jt1BIrti!4(s6E$8CPZ$Ci+76r7WzrU@QFjK2%~^AKJ92&jjUZ-|NQltGbympX2raJHf*;l9D(%k%@;&jw-D6|E z-`mSog0r_5T2WQ3=n0&EpCywg&;_fR8PDdXMyAS995ZLd8vSbd3(9}9WAx8{dF}gv5_@R!Bl4Iq9Z)yABp`psjSlgUn*;QWv)_i*%uWM_UqKe zQZ?AmL%-!dl&}bHa4!N)N&*3F$N`ET?}ti2Z%kF$RCucN92pU5+fP87@5}_B??JK4 z{sod&-6oN+;*w+dPK{1I9{8j-cQQ~wVk1q#F)HOK1OzBl7k71Ny1lXXB;$CdNtpL;al<2K6~7uaU@POlfxzJz@SgO_Fzsq z)>S{AS2_*&F9C)SC+*r#+-QwS>?)Q!L!l77vbrfZq|!LkeRPL9_pwP@F|1DFKr`11 z?ouLo?!Lp2r!D_}+!X-0yUMG+!obXqaa!gf75qXE88K^%lGV3dl15VuV?FS?qKW>5 z(qLkOoi~Dxhfp|qQdl;+{2P8Ps2HBJZ`s?}|8rIAU-Sd87!lv~@sV%Pht=$2#eb|woYSd$}0CNxKDJ&iaL;`cD#|1z1OlZXDlo)nfNn^BkBzye>C0Ug1|eh$CN!n7)t}Oo zL0ieiSJb{*abv+{)&)omH+wj0Um3M}y-&kyc{-K32=byHD<&w{tr=S?f1fcLbg!ywg3(`4 zSL*Oy+HzQ`Dd&C|Jm2|n!@)Rp`s`!23!IB`N@KqQA$-ocyuqTe5GGJK#EUXwk~L(a~L8#_>&SI^dbyM$%gaUNvLHq6~aDhkiczj@z- zh>vwsu?+p^l32!xDs6YeCXQ*li04r8YMS-r8g(c#+OT=YTv{7gY9C!!ZtSZhpj!m( z;J(k!Ybud^cT#C)P|SETk7qGubH>)G+zTmGRUqSdbWJU|9;2TUqmo@V){{Opi&_yD zAU2$@$T-CND%)Fq!go)?6OP@pXxP8b(ZloWz~8XGCF6ol?V$jjOFIL;jCz~j^s+f)H#JexTV)N z?+|#$D-)3drjUMnLcDd+UQ4zTLnR6>k!{N+5fc$`%~Xk*cAMww@8D5ak@;yd#-qo3 zUaJyy1|@Nz~>(go-b{PP^4S>O_YN`(%3Ba;-h3=a!CV zLKwXmxln(o{Kd(EB4mBS5xXr{bzfuhI;v2|Te7vP!$}Mc>aam?+SgW7F(ZFMgd`=M zBsxEZ#-nt|e4GL~P-S`AGMo*?*--WE_Fm)czk-n6c;t*xuaUS9K|tztvIohW)H&pmRw&Tohhr! zwN|bvwN6d7s<_w0u+|>E4&9TG`%3Z9YKuGd0Ys1fSdN6~`qL_N0Eu0a5vx!{v&)ju ziQ0~=#_Q`>&cvU7|nVPMs+?`P2;oOcbg$mRo37;g$ zVVzhpK)QIl%QIQvVBj7!`xYj}?o!w4u_&@Zn`__ke%4%a*(NjhnB0;h2XGQv9Qwj8 z18fQUrj^&>?EJB&`dyO!>U<%+bc`Er8=g=~p@>N1^uA+`3Ho1ph+SPH%JSj}moN_|E!DR#YTrJosT2|>E#FWvNeWR`Ty_3Z_ohk&_hla{(4$!Mb6Bw=n}d8~Da&Wv+wUrpPTo3GP-{r!6R^tM1p9y2NQApH>9_s%7FEvl z>!sTmor#}rOj~*+#C&*-SBE&dx=tv1;PkcYI%e|e*us|HhkUPafEk46Y|HuLb`}c9 z_cQlaM_+S#B`0`4IWK7d!NWZ@$I70})cL~q@N?|GW_|Jr^Y0YB$~M3!7HEct!<420 zT%Kh;R@cvAB&PUs$02z~sq~Xse~a10!()vrhJ5-gDIJOVZJI0a+15w?*k@yv{}GR1 z4+SQ-$O0oAsdd?P#YJortHW|>Kj}$~Fxn+|TWf^Ecvw}b!M>!?0>hV((EVNxM5qi= z9MimG{&v+c+i2(Om|9>GZ=d95)aGi+d90?^Z~&yqFwfFEm)poZd7$m~WPMpHVRXk| zuhJVC%35kh_^}F?G#E@T?coZ_TEd&bDw&^}gl0hjIGM5a6FpPHRYmWxeh9*fv&kfE zNW>k4TnE5Zhk-rS2r*(=+g7$38 z`lb@gROSJL=TNvG*5YW5yvyD8z@v2P<3cfRb?1j+{u{#it<6DmezT^a>6)?j=Xm+Q z2kTm|4+H)0$;$K=EaQE@YX~i)mtlnTZ`R4T1|RnXhj4}K%+|%@1ylt|(?Wvt#j?fUf7r4%l1a=@tt}m--4VBEu3SLYAk=o?CLg;5wo;_fQym#1=$j(P%cJ~ zk5wAZU8||orzeczH%Bxbo>|x_6I7ygQ*c>tX3l^C z%vFod&Yo?ZF={?Y^E&;rf9c2y!>cCCi;f+|j$Oot%LNKKl7sT3%nyw<=H19dnR^;T zc{npF8{u$HXo-&6?F^hY;9U$1T7tqtjed%#EPEj=S8UE3rC?C%sYH4Y6!&qQ=TT-o zH{p-vmjVu=C&Zz1dTjc-QEE~DmE)II9~sbar>~&7cMhI$eQ2cAc^EI^RK99bV!LVc zOA?lx1$*h@T95B6sV1)K{5&gwC|hc}0Ot+=-Fp124F(?$C>rz8wl{IdMoaVF#*&U4 z^Xxook^WpfPCM_-NTM;I#FJ?p$ITNAp&6)A9fLgr1nQctHnjW-S#|ak!Jax zrmAPk6R-!cKfKvN^rhSdf~Y?uEasJ5c2FS#n$L*uNnhCLfw=1~18sr?9iZq_P^aEQ z+S@zR5T*`=|Ii`_^I>+u6}m(p;^2|d+G%;>EL(7jE1#`2Y#`6x3S~7YuR|sq{+V7g zp7h7JXgA+L)gYwh0B_m9_|a5-$|}9Zw8fFT?aVz@nx(6L^xB=d1jE3avQpa0r%U0Z z7RjxP8k#UDbwrrDA0EWIV(To^TN+=txZ5 zX1oKGu(iDepd9riw}J6ueor7N?PQ+OP1PZ@%+`K_%i6f6j0?HDLzxr)HM3L^y4<3= zhF@z1WYSaZy_@Zu%2Z%h6+j(ym)>-lt2H(#bpFDej-FI`MFUxn*75AI&`b}}r9|ZC zJbg7_Q)+jhCdj1S^fg|s$Pvn8OBAn(hAT!gLvnP1Rd;k9Mzf`{)+eLPEf+I5Q^w2h ziLWPTDJ`d4ltX4>pC+E1*~o-vBEn=#7Fl59QH8@J&9d8@ea;Da+mS4{u+=pu8@uZf z*)?lvl|5*S>!*ApRn>Dibst#G$mvkwBfV3a&0w*Q?!{Y7WE?D+m&t4+hxa>i}D{g)gOB7geDGhvdXn(Bjf z;M1Rark6-aVxjHa4m$^Hnq5He?;B>ru*P5YfSZ?#?VBg*>Lf~IR1 zeS@WOE(PNZANp3}fxEHE`Ny)Bc{nwBJfM~p5!VUBP7t4=TGs&{NJmmf22D=xl86g< z7w64(jNSU2pN<|#1ohcw5E*h$Tj&J#q%@_1@~VoNUY?cL8T9C{{(cDFJWD<0-%9B- z=k8_u?`7@!y}vylsiAUpd$Qw-i{_KT%VuzP&YCtUXg&P8ktiQv>|KK^qf8Plc5J?F z|JUh{a)iZ8CqG(Cc}yYsmFO@db#WN)|44c2_d4CfMH~bjyye{+E?gem=j(t4*&2bi z2y2E4hre}zQbElH6+lHwK{+SHurgzI6#6i#V2G=PrObo<)R$bui~PDkAn1bcaKN&K zkGCJh^hIE=S^ytxkBTt!VU$4=kNRf^uTrymS^Sj1T7<{P8YG(rz0eBxs z3?);zDO~C;#`YP1=oHGk$&4()0t8E6pjWIGR>RGML(57F(-<J=ZjUU@ts#h_LoB~Y9 z;Jm471SF>svn>^zRj}-x@Id1ABG2o)IUF6TdP}+Iymp?iY~t$)cz2S?*-P_sUUx%W zR)B!U^J7a5Lpb`dkRbwNGDrzi zWU`w#4SQ91eNl9~oB*}SO+-595go)l%TpICVjFj_nQ1U-roq^$F@qFgs49r0b$NI- z*&G~kUPwQ(6w8@O=ZHPW0;|AUwKR_cFWJkIn`zUjpq$fb%8gMEUh-V=t*zkbS&=GP z_vv2=UC0`e&2CD~8q}G*I=|zZ4xp(`)hr*h!!J&dY}{{g&O`2!Z^y}D({>6`A%%C59_pDMHD@|T=nCEaLl&*Ih;dyxe|cPcWRjp=uCGlC3hvtbOP3=IB#Qkv^j{bOnxTm5#!%m2BK|nkSE@C* zzwk5P@i+(9925=cC`O&mwBriAI({h9`040HJYef8CL8@!7Dhr)Wy`#jF;4lB@bx13X zHkVnob~$yleaI$V zd%f}aWgg0RProymIj(CQ>{pM;dGezhfJF9T%{{%@=rr~6J7CzM*h`itxN}xRw6I>T z5zvi5wr9hd^i|slhc25~g3GuUV6qvDr|J)W0goS4Rz`&+Hi1t>KseJ#}L14pK z4WOafJxsS_&$~|^ubFrje(gU=4P5% zr&nhz=|5{NQcW*%`qA6np;Ep8=vbb)28x#Tl+-9yB?;sId|$qBoxoU3ujVK2Am4ay zvO}@^aze3xR}!T>fCec}qzkuQ5Ps7LpshZ9^Ko!gB3J=+fYDxHRAWoJNH6v~6}i-` z|GFY(?s~yW3wH|gCgH56Was$J=$9Xm%=8S*3@3?&91*(JbHM9XcGkt2${vZr7^GK- zA*8XK^09yLq(C3pb(9beLr~AyaVJuKP25mW2jBYqt6ko)9A)$hgP1}Tme-yZf1o** z^n}{@#b_QPllOCW&l+jJ9Ksv8+t%<`u7BF3H#dAoNEoV2JY8F4fN15``T9to92UhB@Szqd z(rHMlz|rE9TUaGpUEx|_`6Kl6Ppv;M7HZ}!XE39BLSjElY&nG44pJzIG%$=2Hsy#j^|vdB=q1=z=ZHrOt`3lQhStd+aGT32WD&#SsE3$^)P8w1;Bx(0Q?R{lfTuat2?vg-ocL~r< z)3^k8cekd2#u{nd5<&?en)XW@6`O#74G`M7xB_3tXxieq z>mEf@ew!JzN=FrnYh}Dk?NrMjoEoh14@Rjtz6y4G93iKs!KRgRIcHpP0hq$cBDiw z>6cSkIOZ93Vi3j*LwEc3BUzr$_oOC+a{N`@F)1Fmke~rv!mW<|;6r6;YV39diZ~7x zDsF>xt50_tNv1UZgKWn}yid5_@zKhM+R~CoTi^FSjA3z0oMdasuPIC4-y?mz7pCcj zO6LHJoeC{ptz!803~=>BX&K}nm-ygN+0juvkef-7LH1{;#2^oa{_-cym)~1Vqixj& zx5;O1bNP%=MQXg>NqL$undqm)U?7`^DM0<-w7Ec$l;#zmhejc7h7(1P#%6s+0vLch zCf!h!q>98j3{oe(^{?p(r)-gJ^G^ELu|iA-*7Dm*WI@*i>bT?Y1|SCHak6P{Q8-%d zbQ{^^{1Hx!E)RxnCHMxa!_{;UQ_(; zh0&D?`Sar5kdTSStnRX}EWIb!5f&ToC%@q`&1vkjN4p#C2|23aLdzHuYVwl069j$f zhGtLhkN9MSw~@Y?k$f#-GZ-IyVg^+pcn&-ZE>%R`>!J@WV!OS3fOuRx5F|G|hlbl8 zb$Pg67^f8^^7bWFI!nlRoGHfqcFdv<2Tg+d7NPXDB;tdE-pT0&m-06W`?OWd-ReF@ z39foB(`p8&n&n;T&?0?lyvNq$vDPpKyp&|Y=2qvOL79THsp%YI9;@B1?m#}4%sc&? z9|3|J=l5HM-;5!ma2ob=SdhpQrVjbm6#8BW^}dz*KHS9}_|vdm4?pc1XB4R^nXw7? zCl(6;2joZW{rW&j{@> zG>nj%_dX6E#jG^b2Hszn9(tqnJoJVb8R9s^8MLNe)9#u}{EeN9 z7Dk#F!{oBWVF^=%1J!v-Z%}_Bl>}NE+c;XW)I(h*pG&21IQVb!xj?}GNj{g5Fi`N%XISnVs8l%i;b(l&v#0(_dv;eFT_*L7 zN}O=@mQY$h{&V@`feTzGp=W&YiaQxDL6J7crzIN~sTDpqKaYLyPp00vpr{ni`S?lq zu}n}KiiF*8BmI}=&xdrJvVmudPb7YRKdv-+-5C-vljc(WQl;bY$y#H!TUyrS{yLR} z?xAPoq(}0YK+8hH#;2SQNf%^fo0mZ+=~A_;s4A(11dNFZ|F)E?>(MtK?yQ*&6=VdPk0Nwj!!u8yCH#7rf&KyJ)>l#YKLn558O|LSm zkGgK8b4&%rWCn?MeBv+KQ?odQWYv9yoaWm`X+xeGfFJ_Ky5%3{K?R)RkK{Gh3yiMo z*8CO}1ZCyj_S}0|Mr4xDG2=0Vcn;NU`zaLpp1biTGrLB-VhnvHQvB*H59n1Z9l^1T z_KetfT)T<#gr1CJJW^!(!V`>b z2B1?MY*wK%ByCxwFPHgmD?SRGy`e#$8w9G7U2BcimoZ|DV_AlFkEaj5B9AVGUJ6Ii z-rs;(dU>3VWK5ur?kYb&M((TbF~jG0%cVD`^#_0&Pv`YX%S+n72ue&J2W>$-kkc!( z7D|Haj7abQl{NL<MC9x1?uzAS6xeh+4`&&Mw}{zWFyy6p#|E)pg%Tk;^6<_)GgdX_R+EFx+gt1D zxbG<6c9W+{>TM;hw=V%D4v!qYc9BI89}AtSLXg*L^6wLh={$N>lZ_(CkXWc1QhIMt z6et6V-{7<7Wmce(bu7#!OujJSmm_`{t7lqT0OAzYMSDct9NRUYnK*XXn}(Yyz~Cet z!U!IA!Q9UzGP>^hst|Rer3-IY9rcCXLa(}ltD()|>-pOrcJwqq&Q8)b@sHHkgHnkW zmM$HZxdSTB4?N=e$g6c-%7ix`p=|C_ZV$JsA)YCsOR9{7aXgb7S3gkh!9Ib^uXXeH zX#{Hb&h;m#2;CAdNT-@|agEqW9z0PzCB`f%-|npTJaAyA4BSZ0mgo?dt=k(g?m6~# zHY&y06t*QHCGCQFy^8Gew_s}K!suA9BGJVv9hV2nRzM>p=M!nROq{7c-!DAe?!lHe zD+}RX%_C9iifSgJJ7u|?Vf1OcXR5Z9dj_okYj^C5Y?!55qoUyJ>cNfalzFG%j|eo` zp#T@}^$_2>2>W1Li>btWe==Q-73!5oYToJ`FZp!CMqA0qcW;orVR(l4eX7J-?bwVpzci4TLGv<@ z@f2!6!wnL(4#4<=z;Ml{W=uYUdDB2;*(}<-y1#o<%e@~X{5vPUqAsSdXJ(zgulagg|N!%@p*l#IjqcR(Daon!0}6P;~iIJh|6a*vp-%ovmJq z#|yg-Z_MV`vlv^GM8}NlyID=NxJtDja6NvMSbN*CHH~uN;DF)S7yP-r`@*=|CCg(RD9k%7mZQKU{qjtU+98su-rG; zBlVMY{fA+J7H?I*#IbUqf2+nK%c3<$%nJp;Jm!n)^f#}yic&2TO;uMA)t^ueNbvR= zDsz?KVWZ}j8JKtDJRZ`mm4W<$pAEXR%2!g#t-S3OL^c%{ARI?4ovbCO^B+54WLh*c zK9S7EN+@1(HKkeCBPkiYlQe$5tf*>4N*u0Vr5BXPkD8CQ0@5^(eU0@v7J}W;YJ0?H zt3uY7X%ggpjf5~MVl{gYZ{OhSEo;}7Jdpexi?ePh+92=C@&P}@ofc^WOiR-4I(YW5 z-||_6GV=hfI8xZNOR*Y3@uOxp^bqMxEp0#p*TW?kRggr1+Xf^lCa)kWeHI@fBf&wYp4l>s*O`n-EpV zqt56z7D3lnUgl}lALV^7>e`%HQwbc#Hfq`j^)L#9Kpo}g3zwr#V|OHvq>+-O3Go*D1q&!)Ds8d%a!D5-Es24{wU9apMcQ(H}NaxGkC zYvZje7IfHwbgZvb?edXFS{DhifvQmRvGU1qPi`SFPrXM(EhLy5vr!U->e>y(Mjyv8_ny)k=y%^2y@%jsGEhTLnp{b81VBjR#4zHo64u&Z;#c2$_!F* z?AFxF7lrCw2shm)4^iYP%O4g`jVjG2?LsR@+}Yg*MyE6TUNxu(pA0D={cKD?r=4Yo{0wL+6qSG$WYr+tQK$cK`hs%i&+M~TxqjAg_wve4bbN$V0 zEMlaj3cAu0u3h~^s|+`{@+>`x$>M4{X1Md(m-{6Z3CzvEY|?v;O41wX`!Xwzj0Q0! z^@Z#!L0t53*)ByYxFG&|QcWM!ghb%o$Fpy9%%yHOq(~X>^7JIxi+VFL(v^!6I!jjo)xnA@-?B*4()C3Q*&uMpS z-83l-Lxa;$H7C0I{j7^mE=Hc3uw?_}LIGrgioD?sR&a1sAKfTiD#(ue%y?v8#5Rqi z#aC+B6Q?&AnSaE*un9$%^M#sN8kbb`%N2GwO*w2iaNImn{KqHB7bDU!?;&y3tZthTs|D#R@ru;oNt(1d@K#EdSL~u*A5=*mL0=2+a}fz zM5z*aaZ1C3Y}6b?lg>}mCjuCXa;=uzj8=F41@n z`q)LQijIx9#$KW+MfhOwARb-gL!cpP#*2~GJQD4-hfJBUjBfT`bU<~jpZvp4H@%d1 zpEe0s0UwCf##$n*46A*PW*vR|1S_mboF1^g7Hpw2De|0Z`kv0~p<^%ND5d5&*CuNy z=gbmD*ibrVMxS&cCdsGtvjBmi#OdB}GuJEeB5|M`2fsgz?Bv-%s1{7>h8jO~Q?r!- z2(^{Wd~$;8vne0^MQwYUloDUwBiBCdi_ZpAsGEV*$ux>5dP0W9xpnR8pq>-gFwy-o zj}_z3y(I(*)f4c|27FpW3sHxH%vnkOg7-{)TLZCAjR)^jZJwuvR_$0|)laF_UwV~z z-IgvE8-G&gFwXw0D-#yfT>RvN8vobzyKc>T*LJq1C*zv82F(R`0LM@C+nsF_0Qece zH&;J{BX~a7;$r&?JeHv7+%;b?jhY(faX?Ss#V`F)OQBCJ4{aV>_Zq9cv|y`CCz+Y=5Y=<3E_KYC!g{s&{ES5rx8ukOGum`his>f2PJH55vfJ zycW$5KYe==ltDM5_<%}IRe6Wn&pHkNR7zkHXnsb6j3dz(GrNU!sA;A-KRe zBab-u_Ct;(<6Z$5HFx7#!50>R#p%pcCJQGv-r!TBVnw&l|+!^CN*SWNL!YK)35 zVYvUcJXkdKT?5bM$!HO`%;162n6-QOdWb`G_>n3x>*sx8XK~~F2DAAz8aI$ItE)}Y zLF0o~b;rZ14_a($G-5u5Ip|dkX|zThN@a}8+AnmxD-24uQxS$!C)aw^k%CHkJ?d;` z+}^~hdd>u&@DSLfIM*KRuW8TXpI6mLlkZpeQ-Ovq26LW7>da`^G{0;%Gxg zG0WwwZmZUYF27aWh`pS9zl4MK9|$>dhpXetav>!vNQy> zJ|y1vLKP&|yXNqMBtNxjtm;1DaBM5741DWeArEl9@ms8VC7w9Uj4tLtHxG}>69Fcb zC4XyJ70l{*(zIJW<~(~UhSF1kUS55C>dU{=SGm=!jQ3h;{&V61amP|Mp4m^HpcsFm zLs}3R)zN)>5x#W!gLy}w!^oU0*q6`#Yy_Vvew%r-u6i*&Idiks`Gad-=<~=^ZjUc- z!}(0U2iV{mufU0BOlQ5t_(D9=5jAp#xm&mS(`82=#K@_XND2J%0kaY zpL)J36_*rw253#lvzPWU%>It^tXbE}}Ab8ODAv#hy--P(Dn`Eq*r zOSxz4);{&kuqATO^~zTdnw6_3L?$88d#qZX{m3D_lMZhQmnehzH#j{$J-mHy*H`6y z7olEue3U30&iQ5a%?d4u)cey+j@5WyJvsr6T~>j-e6;R!GYpqAPfc z-@HK&r5uUfZq?Yd7?~vd{ger52+H49=F`==$9tYd6E&pZzI@1_TlZm{BAP*I1**#S zl(OHCV3PFswbP69Z+Kze8Kv~h{>jM*pE5AbvvMreTx#@7i*my6i16sC17J*KK`FPI z@YP2*Lkc;{vg%%^XWPKh>UndDLLrpD>ZjOt z%2>c(4-XR(j)0C^=I-2}*o|z#~lu z4pqT}a7Z62riA0eR0^G$I%Ge9b^sQT>!%c|0`3)l_N!IR4)5pl>0LQX^e>VoP_WeY zQW61e_t~zO!w8jc zIHDhv#GhOFv(m|N-i)W?QHUvd&GI+a@}^#bNfmKZ6A2<+=<@aKKy@e4@Ws zY#-mbZHD|2a#31*7e{q+oa8vk+H|W-w@?J;d2Hd1kMxE|7A23ST6Ag?)Gg)Wi{%Y# zLia<>IXW^yEos3-v^e34IYG2zuz~$b^nTxb>pX~`HkxE}~FmtDxQmhc# z*41$mF_DdU_25w`cO!OIWE0J1IUKnlwsp#Wm6V!DM)oP!=rcDwK3Y0wt9r59Z)zjT zG!jD;a;%3u1z2JR-@BJq5WRRuD5qt|o8(18mTLG)iMG_ZSZI@qNr^YlGyXs-*#Pn* zfO>JJG)rq$Tiw{$nB*;$HOa$R!nNi?%X}mpe{6jtd>dgZ#koiir@$DZ)u}uoptf(C zjfd^LgQtciX2|WG`_#MR2j9obD${9&G<|2(?E6ACe@YK1)(s-~r zCMG4D&thBVedd<)^RABVL1{pYfuB}c7>mf8tRrHzq_D^sTj{c}Iwg5g{`fwGP#b+r zjBFx!RHg-_dsj6;=mn99AU^NxPJNbp_(p&Bij(3c+Jzi?C)$H|jWFcKpqEAAvu(cB zPkaIg`qD2@CSt@LO6w*OgiR2xVaJ7n&n`<&CmI)}EX^*|*oT2QX72${Kir8$9!{5C z|BRA?>OZ6JVRQ7eY5cqrgEGz}m81E6&vMO$re7ctO8Uc|vt-e!63L`ooSY7oG#TSI ze9r8d?^M{3Ir3{-lDIittir-<%@hSJVy33F&YxE&Tjq2eID>-c$OmtwA0#+QYYP-F zd)^p(VlV8E8RQ>Um{Zh9oY>~S%DJ#*`0^R5l7Dnz)i0%5URjM?v#pi_XOs)xU+X)) zY65r|BknTf^Xp45OOg^!Mg+%UM;45V3bz>?Wo&$2CC{!QArzfv;#@0}g87%CM z^jZ+(vJczK50FMW2G^~;WJ)Wz;cYuc1X4ZiZV4ODEh{aXCBG8Wp$>*qqfkjZ%6BbC zQ`!v`MW6ckv2^ZiVwMbcOkwUEYI-|I;!f9xXAN#IiNEZ3leL~3Q&~w2h3qL6Ocw!y zTBW^vlo~6ZiSPHznORB~l&+zInJaN|UD=x2Y$Q0Nj8fh-ojoP|gd)H0mM5+mTTgDz z+^}8Vyu?4he0EeekoTD5c)yWr*2kEpM(yYI^9%`(u9^9Ssq-m9)08{LEL@qhGK%J_{H~ zR79l{6zO4dB%RnHGtW|?=}N2CG6OUFS|EBl5MS3w$h5XxRRKvA zGogA5<9#7UW`syFi(6w7gLA)_uCDeVld6?pbjU|mVq!^aE3P#`9JJ7K7>B|s>#7W< z5sCX`e>mpPC=ia=V{;ZgOMz=OHkh_r>op)wP|(g0Zq1|1)7}& zk)_J%r!$#Y`MI7+C*G^US-C)HZpSCV-CVIv0ayO}Y*6NN&!)E+>cgR9MJkbMqeRS8 zKP*M+8|*(BlPP)%$UPF@Nt26m4FIO;d3oqIRLa5Q=p9eU)4|f9KC2>*>@G|+$l8d$ z;(;{^6=^cG+s?S2QG{bjeqjg)86l7n`?=}@OYxP#>JOvIkCNgp;&1QrFiuiUsLcR3 z@`)urmPIwtP_N9m)e8Pr3s3)N$u^Hyyr>p$YP9hvtd759OsxGBFnhnSA`GrVJ-oQt zf4oRlsCx|#?ioLiyNm06a&vu2^qss^K1J}$jRcIRw*YB2D2qAo2Hn|=Qbc(?tf_s_ zwOxTZ;q)Ut{=Js5ueypZswzat?! zrsg*New#k#zD0=}BO$jhuxXd;!f#jWdtdNl(Id7oSKMw~NEnH^+Uy2jo5}S~CSu*b zazLUJ@4AaYrYNM*?36yzpo0q6X8OJyiHP^oxetG=S!~H9b9h)R!!WvMlsAu|k_mGt-w+zkBpkTr<_S7e@cjkLrYleh^ zOLdT~%F`dGcQ|?DWsrI%+pfvX@=W$m(?RG2@Rf<_zM1dqJg^G;(k?pG(N6r=<;bzk zAIS>ix`3}IVvn1!-p#KO#ATwj3t9)HzBU>kQN#UC@`#vP41SSzo`>@_O~Y?WP&Esg zlS(qS=>t-u<~$)?8iti{oeM>hIF}>Tsj9*k#d3;4m6q%e2SYlCh(y+KSk0@h6N+F;psh$?cN9Z z1}_!s4hV#>s}H<>B<;VJ3Z^DZz41Sr1ZH`6*)JCJ6?z9S%ButhDoLv7_(0Rf6>_t~ z^XIMKXY=$LC&ffWSM_VLpD;B(E7jT-v(9yww(FlwMS2&_Dr)~;gY~gCp}8GAN?vNX zCTcrPZaK!x1x&i)Ujg_bd6tD$!y5U6MPt0&%@w~GboCSoJQ#{B{1q<462lcW;r5Ou z{`G)jAALn5QW`!Bohp^d^kPjvP}D^=5f!D~_PIdaO%T4{9t!8r%PEuC!@S_BMY!LM z8r;Bd@h#|4%M%I2t2}7nsad)C*OQGp%bnIQ26h(Q9@_`Aa@zRftTqLMn^)gvW65Zt zCR=s8Kg9(iogK&6l8=9$8ck%F3x0Xftl!~4impkXylCdHF1A#z1^_!ZH2`?FszDj7E^anG|P!O&^ z7b^Q$3{PZOezC>tSwlkWrj5{dASceHOOVHwo4+mxvl{f$e#MZVx^BR_&e)V@12ChS zVz9R1diChCW*0wILw}H?6dYxBk|)1Z!+~}Gbc+HWksMM;D%E_iD`}^cD_{!HZxrTv z1e3~nbD+GSl$@RbhF7!pxLA6ildOYU8kNT8lHG?!hu+|2NWzHpAdsgRBQ6t;c*e*6 zes8b<#~8Z44E#rmpE*d@Y)f*9ys~U`=$Ecx2~7|QueAF}kWtC|B;pZ^n>`>{L`B@> z68_+g&GcP5jr?-K4VSk-%~F5*o3NjLwc6Vic2<1RJ9&U37>(V<`gtkGvcalsojLla zEpT-hjw8bC31-C|0dGZDZH{VHk26X*DY`#G4c{6XFz(e3pM5Rz%DPgZ;6z7>HXZod^x*yw6`Ait^ynpg zy-;O;x65LxkK_!F5@t;AG_%t)Zl2N%Ctf4T`b^8s-OXb!hIz+iw_L1=F3~Wa*rJ$i zp~UywwAxa6oOnfX0gnp8{er)`+Y9wySfRhAU1X-HRTPjk>vhTxVQ8P5zLCc zDGNWIXTGxee3yx)qV1}3fYqMux-Ry}3r_kYSmTGye5c#jw}gt$c8_jVln(eJ$^wbv z-xRP-l)koz?41NiL+Yg)Dm9<^Dn#(U!v{lZVhQ&io_Jnly>Ns)^AT1!TepU5^cR9NoA&(N55_-+&=lD`Y z7&ua1Uf0NS-v_#iSthQLd0eW!yd+_FNH6L>W~}PccN=tRKAC$sh<~969Ona05*BkQ z%B2)$TOh?Z)}mqokq%rL@c%}K|A`91|I`2s`nL+`+{ypWJFkYZk73I2@~pg(~82UvXkLP7u?Piw?4U>T~Z{)UXFO`{WTc1Lh%*}Ss{z$qWuW#jML+lZ^O4kbA%2b!VH?IL z5;$T$B0kQp&iB;leVmXk8F9Uan z(t~+GJiOcxAefh)AH>541_=ubaM6Q+Kp_B-9{?2O1_4FDLLxvQ{Xag85;*rIF?SnV z5p7xde=xlNCC+G%K)8wk0N&o-Jl=dfaCbWZuduK%00;trK-~8&xIKJb5LQ0iE*?z3 z5&0(_S*QoZ9p;LF!CmNop=)Ig_e6*@GXBEo_s>5xb9Vimk&6e<@=6e_o#@-xI?WFaCcoe+(|-H=T|=bJT^{F^!iYDk6$?Q-y;AL1N>{-KbZeY zMh$KQv-Op=LO>-zKwcm>kdGV0ugeP-0rQK1__=}Ldx(A`@~4*nLgQCap#KvZe`@&; z8e)K7kogl*znb9}CI6-VKOtxX`5i!4Pj{zZ?PLQ1K%Jn@P#1*9J&3%21ga%I^gG#gRmu ztX%BG8GX2Ipte??P6$Q`K7Iiq3kbccmG8Yr@Y1vMa{Pva6YQ^aeyjZ}`CrBRS4qU~ zrGxzD5&uHr7Z`P*Zhx))Lp}-h`+@3VZ}so^|F!$C{Qrg5|C$x=;s4!?e;Eh&7w%2S z_g53%SBhx5!)-hvPIR0W+TFH&BqUff^E62g+YAWU_KC# zTS!0%$juM66$V0qU|V6q-^R6?+MoOW$yI-&tK{N=uyTPw|4CPf&)UiwYy;-DvEc`D zg9Z3(xUC>I!rTIaAR%jzfQ_In-|uw))c4=w^qb-DZ;KEyej6+Q%in(} z{J%{2&*c8g`Jj@9JbqB~h-A5v_Pzc-x3ivHD2mc<{3g3sd zy#KGbyhaoFOGN8E3K)5;1^je5s><<70E~iz(X;zfmo#q|lewUfba5UTjU4IAHE#Le z2m}8A5r$U){O_a*h*rZ50g^wx8*~|!IsJ@?X9!uZmS*2&{J4NY5Ek`a`Rr)%t;g0@ zE~{i(!M1*ebe`P{hg4m2>UVnEAC?~1)qTPJij*JMR+1M-RRnsvZp%r7g!phWx0{Un!kLOGfb>l5t@ELmpiN&l&uwn*4X?}b z^&$x?xh%Xa!^I$UawOV$F}A+}fd3BwezSn4mAkWtm>56??&Rt0;z7?3&~%5|z##Ww zCp|AnLgL;+|CiB}WKG26KmtHHc@VD<2qXmJ15@)C@sS)C?y~a76M9`0hC;9;qjE1u3t(uUhy6EadzAl*+O6|rJa{S7YnPtooOA9tuNJ`OLh06q>2i=2i$KF + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; version 2 of the License. + * + * This program is distributed in the hope that it will be useful, but + * WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + * General Public License for more details. + * + * You should have received a copy of the GNU General Public License along + * with this program; if not, write to the Free Software Foundation, Inc., + * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA. + */ + +load "footprint.5c" +import Footprint; + +real hspace = mils1002mm(39000); +real vspace = mils1002mm(13400); +real hole = mils1002mm(6300); +real copper = mils1002mm(2500); + +element_start("3568"); + +pin_mm(-hspace/2, -vspace/2, hole, copper, "1", "1"); +pin_mm(-hspace/2, vspace/2, hole, copper, "1", "1"); + +pin_mm( hspace/2, -vspace/2, hole, copper, "2", "2"); +pin_mm( hspace/2, vspace/2, hole, copper, "2", "2"); + +element_end(); diff --git a/packages/Makefile b/packages/Makefile index 395e8a4..04f9736 100644 --- a/packages/Makefile +++ b/packages/Makefile @@ -99,7 +99,9 @@ FOOTPRINTS= \ 2013499-1.fp \ servo.fp \ servo-motor.fp \ - TDK_PS12-small.fp + TDK_PS12-small.fp \ + CAP_FC8.fp \ + 3568.fp .5c.fp: nickle $*.5c -o $@ diff --git a/preferred-parts b/preferred-parts index 7573927..d3d53c1 100644 --- a/preferred-parts +++ b/preferred-parts @@ -61,6 +61,7 @@ CONNECTOR USBmicroV 1051330011 smt no 1051330011 digikey WM10134CT-ND CONNECTOR USBA usbAmale smt no 931 mouser 534-931 CONNECTOR BNC v-bite smt no 361V504ET digikey 991-1037-ND CONNECTOR BigConnector-5 mkds5n-5 throughhole no 1777574 mouser 651-1777574 +CONNECTOR BigTerminal-8 mkds5n-8 throughhole no 1777600 mouser 651-1777600 CONNECTOR BigTerminal-10 mkds5n-10 throughhole no 1777626 mouser 651-1777626 CONNECTOR PJ-037AH PJ-037A throughhole no PJ-037AH digikey CP-037AH-ND CONNECTOR Header-5 100mil5pin throughhole no PPTC051LFBN-RC digikey S6103-ND @@ -83,6 +84,7 @@ CRYSTAL SAW saw smt no FAR-F6KA-1G5754-L4AB-Z digikey 587-2995-1-ND DIODE DFLS130L powerdi123 smt no DFLS130L-7 digikey DFLS130LDICT-ND DIODE NSR0240HT sod323 smt no NSR0240HT1G digikey NSR0240HT1GOSCT-ND DIODE S1G DO-214AC smt no S1G digikey S1GFSCT-ND +FUSE fuse3568 fuse3568 throughhole no 3568 digikey 36-3568-ND FUSE fuse345 fuse345 throughhole no 03450101H mouser 576-03450101H IC AP3012 SOT23-5 smt no AP3012KTR-G1 digikey AP3012KTR-G1DICT-ND IC ATmega328p TQFP32_7 smt no ATMEGA328P-AUR digikey ATMEGA328P-AURCT-ND -- 2.30.2