From 0fbdd62e61f410d6ad9f442f158b2206511fcd91 Mon Sep 17 00:00:00 2001 From: jnosky Date: Sat, 29 Oct 2011 18:17:46 -0400 Subject: [PATCH] Fixed flash utility for STM32F4 Can write entire 1MB of flash --- .cproject | 353 ++ .project | 81 + doc/tutorial/tutorial.pdf | Bin 114610 -> 113377 bytes doc/tutorial/tutorial.tex | 24 +- example/blink/.cproject | 713 +++ example/blink/.project | 81 + example/blink/Makefile | 3 +- example/blink/blink.bin | Bin 0 -> 168 bytes example/blink/blink.elf | Bin 0 -> 35585 bytes example/blink/default_bootloader.bin | Bin example/blink/disasm.sh | 0 example/blink/main.c | 8 +- example/blink/o.bin | Bin example/blink_flash/blink.bin | Bin 0 -> 3296 bytes example/blink_flash/blink.elf | Bin 0 -> 71740 bytes example/blink_flash/main.c | 31 + example/dac/Makefile | 43 - example/dac/discover_board.h | 61 - example/dac/main.c | 242 - example/dac/startup_stm32l1xx_md.s | 365 -- example/dac/stm32_flash.ld | 173 - example/dac/system_stm32l1xx.c | 367 -- example/lcd/lcd.elf | Bin 0 -> 42705 bytes .../build/libstm32l_discovery.a | Bin 0 -> 63734 bytes flash/Makefile | 8 +- flash/TESTPAT.BIN | Bin 0 -> 1048576 bytes flash/blink.bin | Bin 0 -> 3296 bytes flash/crap.txt | 4625 +++++++++++++++++ flash/demo16k.bin | Bin 0 -> 16384 bytes flash/demo32k.bin | Bin 0 -> 32768 bytes flash/demofull.bin | Bin 0 -> 1048576 bytes flash/flash.exe | Bin 0 -> 91843 bytes flash/main.c | 1 + flash/shit.bin | Bin 0 -> 8192 bytes flash/test.bin | Bin 0 -> 16384 bytes gdbserver/gdb-server.c | 25 +- gdbserver/st-util.exe | Bin 0 -> 116964 bytes src/stlink-common.c | 522 +- src/stlink-common.h | 17 + src/stlink-usb.c | 30 +- src/test_usb.c | 5 +- test_usb.exe | Bin 0 -> 92221 bytes usb.txt | 127 + 43 files changed, 6437 insertions(+), 1468 deletions(-) create mode 100644 .cproject create mode 100644 .project create mode 100644 example/blink/.cproject create mode 100644 example/blink/.project create mode 100644 example/blink/blink.bin create mode 100644 example/blink/blink.elf mode change 100755 => 100644 example/blink/default_bootloader.bin mode change 100755 => 100644 example/blink/disasm.sh mode change 100755 => 100644 example/blink/o.bin create mode 100644 example/blink_flash/blink.bin create mode 100644 example/blink_flash/blink.elf delete mode 100644 example/dac/Makefile delete mode 100644 example/dac/discover_board.h delete mode 100644 example/dac/main.c delete mode 100644 example/dac/startup_stm32l1xx_md.s delete mode 100644 example/dac/stm32_flash.ld delete mode 100644 example/dac/system_stm32l1xx.c create mode 100644 example/lcd/lcd.elf create mode 100644 example/libstm32l_discovery/build/libstm32l_discovery.a create mode 100644 flash/TESTPAT.BIN create mode 100644 flash/blink.bin create mode 100644 flash/crap.txt create mode 100644 flash/demo16k.bin create mode 100644 flash/demo32k.bin create mode 100644 flash/demofull.bin create mode 100644 flash/flash.exe create mode 100644 flash/shit.bin create mode 100644 flash/test.bin create mode 100644 gdbserver/st-util.exe create mode 100644 test_usb.exe create mode 100644 usb.txt diff --git a/.cproject b/.cproject new file mode 100644 index 0000000..d54110e --- /dev/null +++ b/.cproject @@ -0,0 +1,353 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +make +CONFIG_STM32F4_DISCOVERY=1 +true +true +true + + +make +clean +true +true +true + + +make + +CONFIG_STM32F4_DISCOVERY=1 +true +true +true + + +make + +clean +true +true +true + + +make +CONFIG_STM32F4_DISCOVERY=1 +true +true +true + + +make + +CONFIG_USE_LIBSG=0 +true +true +true + + +make +clean CONFIG_USE_LIBSG=0 +true +true +true + + +make +all +true +true +true + + +make + +CONFIG_USE_LIBSG=0 +true +true +true + + +make +CONFIGURE_USE_LIBSG=0 +true +true +true + + +make + +clean CONFIG_USE_LIBSG=0 +true +true +true + + + + + + + + + diff --git a/.project b/.project new file mode 100644 index 0000000..12a2f85 --- /dev/null +++ b/.project @@ -0,0 +1,81 @@ + + + stlink + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?children? + ?name?=outputEntries\|?children?=?name?=entry\\\\\\\|\\\|\|| + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + + diff --git a/doc/tutorial/tutorial.pdf b/doc/tutorial/tutorial.pdf index 648138732a728963e6a538c2a9a5825a42055e45..82372df261dd622ed20cd446de3ba01935e44f7e 100644 GIT binary patch delta 38653 zcmV(_K-9mo{|4dp2Cx+Z12i!pmvBJ=D1UuYO;f@!6uk2*a(p?oOFO6S?JoVoD>x=@v>S|NxpKTr~xdGMZngrKpm-m<+>!LaD zo{F~k#xTiu+tyVHD?#_QRR3lzZdJ-RTNe(-6yZJ{rSnBte}u2{uB4GkEC#4ZZ=U z0j|ZfkOP?w0yQ(2a6thmf8|--Z`?K#fA?P@;PM~=UWMNjTigM0;-on^NiW$eP_%uJ zcV(}TUCGsl9slt$J;Co}DBoL5V(e>8nn-L!IX(bVTn zzDfR=|NHrCX(K7pAcDkCh-ju%>TSl8zkmT&#cjE{SSKYR(54yi=Lpc4N`X4ZwcPiC2mFM!&3N%fp) zM--OmvkVz2biCpM8Gww=9OS7w`*b;Tcvuz^|ybRVq*tcKU~p9)hk6|9 zaj1u(GYba4?erP$HLL&@44(KQ0S9}JLrp7sLC%`J4W&m$*-{g2ApcBcbSN6;H^}sD#~k>S zB99=^E^mhRuEx?JkifC*iY8xl+;UZRt3hTpjQccyrxoIe5Amzwf~TwopoIRq8V)O| zzI$4E62O&Z*)D4Q*r4q-H-9b%+_}cSx@RVDe~K+MI=n+-Ync!`njVm~;(A>TW9u_6 z2Neu>9Px{KvjJ>x_kf%=U{9?d2jr}FY3AJoa)x}*I5-eM-XYP6!2@B?3k{x%nFGWi zq|sx1Y?)gyS95X6jRkWJ7la?^7LNzz0Ts6nCFdouynvXHy!6p!C%ED(o4Au)O>j9wC> z7Y@=0EHe+G`U&hb=4UrvV_`TrunoA!e+2thz$vhj3Cv}|a~28seS)Z<=|4{pNfepI zxx0{_lB6N^fpigb5`#Mfw5OI0)eEXuRPTtQ)h#7XkPa7Hbh%sCQpRwC0~qFtKRA4T zJrx;*Ux-wW(z{IB_zWF~^@QRJ7NjR~l)_~A`5*J?SY%Qs{G*Z!mlVi8psRzt^dD_c^}@#I>Ug zOmFFK#u3JPzS!KF7wl}Txp&?yOcpBmgyy`6Q|8LMriSc9c80?-7y-3AI!fqX9zzP_UyHWQv&z2CvqOR z#fUIGTOC10p%i2e#aZ63f4f6QIPwx~9^b!+xx$d0x6I&s7WvPT`LBGw6Q2s4w#8E9CAOsBVJGFx#wUiXTR53zIiZ}g{Y;n2jx>?LVz`=FZA@r z!B|ojok4nc&)bj+mfoZ+rKA#ap*0t0dp5%O6cFr*PK|=EctAH=8K*lCAM(DdH+fes z@-E)$%6-52N&PHY{IZ=a6Q zjk*;*DN{Dq7I>qF3;$NKwsXUt61JwVZe65zeDpqikQwa0$R5QFrMIaaUvmB?J_tQ! z3=59CH5ce)l3#5VC)`6L6g~G9dzR_I9iHq7NJ8NE!B6Qmf0}MgrR%(b@Ui5kqm%z0 zbB%t!Fh<31J{}*x=eBjRY`NC1>V7>4Oc^=NIsG1iUB3$}x>em4x5wiP&91-c)S3ag zt0Hf1xeSD=p^1N1LBA^aL|`QCyRNQU_?o03KWzzE91`AWrd8_X;LUDF0*vuLd% zqZRWv!kDD8%_3>9jEiDkiz^wXGh=L!e>7Fy_KWGvr68-m=E=jR-R_@m*NlI!wF%X* zoLpFw)4>#RYG7NH}`!{X1yu0 zof_HmbQdZ=9~i4)xL-9j3YmWl;=>!V+py5JJ)ChjwMp*uszufI!*)EOt~bpv9;e@D zO@H8W(pt`!4LPchUEI6koO=wDMIy?1xm`9rKe->!FwUxRZCI|6yoXU?^ta=OKcdCS zFZ0Q}i2=h}n0>WGm!X2h?P_v$twjxM;F@wJiwDwN2^X@8;HDOx_;Y{qJC`2RMNuW% z$dwmWj8TB7Qg$5B50If)JmDa`@7hxvj=AT;G7fj6VQgs8KQEIHhR(4eB1 zZ$OGhb_^B6zyO*>XWHizo??7tNVp*vFKd)CB z(Z2=r4)h%cnzT7ebs(0tr9^1<6eQHlR(e#Cn#Mw7goQw*kQ{$*O>_>7D<<(o-1Z>u zTp|VFA_V{&wk)ADmVGMno<<}TVgj-)6yFV(V$0Bf)td0idbiV1Sak;T=zxebdCbu< zfrbgRhXe*X#XtiBDN?P&h^c~Zg)4!N0`&!-VPRL%&t$2%o z`A1`l;beBHB&~l2V$)cX|E?nKxr+P-^7!nGIL?Uu!i>acX5_za5@6&3BxrmahL&N= zfNuw9KYnEtOKs57`<|dKR=?MFa6Vx(LL(7~ApAZF}tWd@LE0LVDl z16^RrMI9WyoUJS@T|e^t=Mg|L3g z%l*rM4)E{h0GJt={~PY#-hTzMvj5xJ*woa)&e7Q3%gWvYU~Xj#1Sm?#F}QlV(gBR^ z&Hgepwsn7T`0zJ&H@31hHu*64o4PSTLRcAK{88b*>vJ)6wsLfJVQ{gs{i{aCzruX9 zS=`=C)WOaUXz%I*`xiekD`%kTN8h~||9!YN_6{EQKL0`HR`zD*f0be8=E$gSZ{_3$ zlotE9&4&o~kIVw-3SehqV&dfB0sx%=Ku=Rk#=n0OsChX8|5h^pCH|*HeV4g|P5y8-=t{;Bw11k20}Ftajs1(*OWtn6X`ME?*2&Hsfz&fnR} z6QIlVF@DSdroTS_ebWD!Ff#{xTdzOne;+ZUf{3)Lf&}fqOa8A?M8v@p;6u;O0ib7L zX99mPGjp&3I6ofz{x{BlJMf?C|CTBlTm75eKO#!on>zrw|5e0C_x@9e`@biH>fdWa z4fx*-3JxFh3EP$2_~ae(Z+4!^ei$|6fy0;J<$sTExND?EmVdU5!6BMA+WK_P=eka*?p| z1ez&Xxtd!3Yl!}H3Ln93t?YqH4lY)IT{r-GW+tZpqx;x7Q=5-V#pUCy{#F4$R_cFK zirbqynEkbTEFb4??Cfmp1^aRIABY{`!~C(NW;M-{;T!jC2~ z{_6n$7<`a0|6}k$!raRJj|cN#0^5H-`oF9l+?@a6|6yS95BR~`@*nVnxYa-42XmW$ zz>ntG{sVqgV)qArEH|V5pUfYw_8&v>5B*1J4*!L0AI=W{qyC`k_z(C&>>sq5KZv>f z3H}!Xx&!}_?!(;W<7)cj@X@+IZTnbdMpsK`;6GaX!PeEo;U5kkgx&rDKWcw<{|Ee_ z>+y&DhteD9{4ekSnQ&7#XXlUG|L;5KWBmVv|9;f~fu2B9*rj;~Q{E8knvk}KDq%bi z`n_@f8S*{NRBC#kCFfsmPl!-S)Ro^qZ#drxCk=HYukMIb-3l!ez51Lq*FlB1d{b_H z_I)voSDD&tgp6%qug{YK^_TbSg z=~>%XvN9Srzo)!k$sr5(QZh{+qaLjnR5(kXW0Ev)jm4!ChYUvto8Z$e>gW`OkH}%N4Ay^wPtnil4gQ5J z&d9HW%OSl9bFvyZB>qa+&LPG0{276A9cW~oKRiq`oNYBsClmk_lti`AKB44AlIGtk zNQa6HGx4ng9$!fbR1>s7&1iph5q6%Qt9Q`&CVa)_VU9T%wR_6a%1>rmr^NVEeS(NHubJ&ZYc+*k7r|cTDwb7BB-?ZIj zmvV`I0rlLV)8sCdonrYk5~PLaLvfEExsBVP4A&_sjwQqzxg|+8S_>Y9+-<%Lxi&F~ z z`WelXyc5Zv3Y~ZvR>pn71rfFKO}=HJo)Q%_zyPIvda6dd>s^zU+TV@`X+8B(Z+kbV8fZm<_7|JB^Wa_@RB>hyn;ifCJ?6-BeL^2-?J7gvuFHQqP z2e9tg*Wd4RXXtYEY=*`thPgyBUf{x}{6@6mT?A9%a_cLs& zI$;n%mv*7i?2_r-ne?(JY>E)jiXcfi1E1T^XKGvAe=*TO=&dR$)oAvqS}vxD13WW% z)U~gZNDpjPe^M)Z(AHx1)9^2w1bu&P>UnVIrCSIt%8M3(jZIFeAK9%&puMqBZ2^?M z4b&*kur1pS)3LQbB!}iJ!3uIe>G)@e9;CoVsWX5hh)0RGZn6G=@SC>;+9&rGZi}PE zmk~b(iN5H1j*fX&*msLMk=B!{S+y`A-&j3pE-+qM?a>4a${H;XW##lDFTH=_z55+m z@Q!!H*C3UB6UzS;Eif-t8CceJ^89#Fykck1J<6Q+L?3>?m;H=Utc#og23mI3htLCm z;A+hY2hG|izvi{={WD~rZc9+-vQcRNNd;X=#7f|Lck-m*;&jD5LPKIsPuS9?+99=} z0%8;SI~O<+Bv=~w#RUyyM?Zf-q=GcAvU?#n8<=zbC}dUsHc6jO$>e`EwQ*LA{Uet2 zRt8!Vzy!Uq7JAuXsq__7Jbd(WGLu9B$lcIUenA)UHXCl zLjP$d4O!lI1|mJ)Oy++&(8Cf3V2_J|C?X0f4GYH@h!VYq#?_MD{!)TN(*5= zO}@D5S6y^D>SEzGsuiqb>}F~d^?>t5F?_cF?i%xTI$Za{*K~gAh=yt)z@Q_f_IswnbS}P7Yn3JHVWv@~u82f< z`#PN*`K+Bf{`a;+v^o)BU>glt6_LGz=N79h0Qt82T7#@zH&?eS`ZYAwT%8w(?JX*% zCJRRy(z^LN;VFNlHvS8wMQb>bMaqW5`YHl3Ie|JZdS{zuWI=K3D%ETzfaUhEdErNG zM|E&+Ast|=ZZqwdBDU^iM8deCo?xt|>j>XqC?juk417Jwz6yT1-CB3Wj|}RHyJGYV z@mM|QK}}}(u9>L>hs1(OG!Ud@E9}W1%FWj8)JNZqWlRo7`g&C-&I>dE`M)c0hwo6}WE?S*HKWAWP?cy)B zEPlyxg$I`t1+|!l<*_%Bfr)sMYw30Rikmp#d|=IP(98X)L_tNPRtD*yoD+z#`8&?O zhWvDEq6&XayFbZo&~-i_nQ#R>0*kG`DQbt$iA2*?M2|N|xaa{w|0OREg0SWm&m4Qm z!^_K83O&c?I85Cilh3we)c8&QiU1-N9;7`G<=kaup?Pr=$#ANz_j!CyKw>uht~Nv`d3!vNkaY z%IsK?--o4xORPs;#KW#k)TqyqDv`2DV!~<#>OGFu+@EW=e95pF*p9!^2+g<%uE1J7 zL`;7;g4CJxZRi{VBBWFh`o~Bl(vHuxkgLLdSG^`K-2C^HQ7lVs zI^5Ybpl0&3K{1X{Wi?yIGr6vc5(Bzf(PBZLQRcK?3>M?*&lHxZ{89=0;O4$DT*rTF zT9slL=TC>=@q>B<7YgE+;`)z%*ZIU&1~@;jzfeFP6Y%6+M;wAJ^pE&%%9t&6I8jPV z7&}TXVt3R)&p8Q?nWdV}a`75QbJZuFIN;z!$lDPy*E+$tj}qI$ajaVeFNZvTj_lCX zh1aMC|9cg>I7cEfu||Nu4f$%3>#~303t3#&53{`}3Q{JbDTjwdS2x|d8RfCjXL0ob zVtnkr5j~>yI2SYz@%QV8vdX1Xj+Ro*g&4RnG-WOrgtJ;ccydo$iXgl~*!i1sia=zB~PzDGPxdH%=m)0|i?LzDLPxSuDH8>UJ;SlHH)7eYJD7){Q_{B@}-AE7MR z3UgQwU)LllKo}hrg1gC)k_eV~%iCPP?IVDu@PI~RJ02dxAEQ5Z`+3NxW1ujC_`noQ zlm+H7F_;vm>{t`)?8FN3Mdg3*BYeJl^Y)szouz(%C8+_dT;9s&piS%qcdn`1IZUUW zLoc!9gP4M)_)!OD?i_f3+vLIFL#e*MxLpa6cqD?!cIdwB3q~%^+C7w%L-HC{Tju=D zC%$sA{nqIesp&6Tm-}d^jdRU^0Ni0PBY%S5XA*e-$-9Bp@wKs$Z1;c4U>q!4fKK~6 z1A)ZzYGOWU`VVr@M9Chk7If=&Itq|;Om&KJ)v^*Mq~kQa=+acx@-d5cy`84+=Pzj| zYg6E~mRt{5eWOD+IjI+BqNDRUymu#rlD+L!d4c);eLAGVbqUxx z#kCjH$UrCYW&tFy4i$g75}40#fwGH2WWGycn&oLxGdGM%3bl|1lS!;JOtQDTK}Wr> ztNo4CelwpcWRB!5NT$iypSZ3J+bv2(U06ixIq76Ge<00e2||yzj!#f$?H?c006`CO0=?VMr5cnPw{8FZxF|G6V9CppAcj-Zd!bV|#za7~&w9Nu;(WQ2e=U zi~;C1Mlf*jdW|v2E}f>}M%ze^C$we2Pq+vp^7&iw9cp-wbRz758w&y4)GS6)pAGmbR*+H31bsQY`8DM z6Ox(>dginj`WyLtO7~uzvOeFeL+_~hWR(BBc?Q4J_g{aF=rX;fWn#oSEA4Z#Vt7N- zrd{{PRC|26TG#_|*bJG6M3YiS%?PYgm=OaYp~W&^Z@WJ!aXus@k&Y04RiSM%Ro^Nl zP*d9irOKivYIqTsH85Ktuj?fF{iYhlAlp~+gTj~7DcoaD@)c(><0*@5QzLRpA*X%u z&DL(3!-;=IPdY4MGbOrZ6=baAG@m3w$e5M77d9IXRDttQhO?Fke0GbOvv_Y_w$7VI zoELlJad-?H#mIr&g%E8>MUa9V6U(a$E22b8Geurwsmf2Zc#2e*G1bgcX7Yu~ z^Q_ohTb4e3IOzSZ(zQ7?<*EA%+n0&bE^!%+fN@>!4!Z(gu!vLVI7DYK;uzrR*eP$F zr@{1j@Tx*^>S)?gS-dr;=Fel&jN0i0$Qh`_h2h$vfff-BNveQNt6ePJUFkwiVLqXz z!<~N&{wj_;!Ai6v3lNlOG6c0@$>I~#oFFCz7diIZC6Mu>csZhLw=eXupd9lShVD;b zuyXqj1>%)W{ki_q)OE7NtXfy&9=}lGK;)F~_fUQAyHZ`pfr$)wcc%S%^w5REKZH}e zC_z}|k%rKG*J^gwfceFaH`Oi}Xa-!e%&C9RUNjbri5I;^%baD$ssMOCD|B7!!9>&E zS50@>0vMdZm2yult$tE4X@^kGD7e_pyH%9AEw~~X(`}w1T2;c1;8sW~K+2(`ap49y z$?2erK1E99%C`P@o9nY~)jGKguhVtIH|vLyRF#DWzKb2e@6qNtXlKU8(k`qF3#fmt zRWE{_b=f>RjJR$GbaquK1X9@_NLacQ@C#+w`!}Y2@Yy&Jzj^sG%$kXi!Fy^pw)=&b zD356IxrDsDlvPjMhEXmOC$zlZvh0qQSUneRBCTYJW6E9Jq9zl}qH34;ov}r$wFIwi z+k%ruH3Brvyw+COWsu@(rw(maPndsBrXJu_TUsqu*JPV_W2l=jAOZ;k7oJLRBa`ZF zn@qM`F7c|~d_YflqfWzv1!FLMrXYWvsB1;3 zDyq#BvcqFMCpK((*XXON(8+#FysUi5E62n2e8(vORdoZf@u;s3jyK44@8%@zLc&on zx%*cpPUy#=`uKP4n)5UoieCxk%Uze}=~OQ*451D6>$90czf>I4OAc$zJ$Tn1(n|U! zKx^Uui>&RdjQ09xVDM3S-{UF@mSC5{)53 zJ4i~L5DltL<}a(-H>XCmdWlTWpVcVq76{N96=f6AE8#BnJq0sf0!wUq8CV0WxCP8yj zX4#p4gQKW(7-sIskXUOay}dNCOjM<^_m|JfC8m`{_{S z%wpjwp|N&?3YbUkLK~Ib*m*pAeOfH5+3e8&<|oGl?c}f}vv7Yl07$!8y4b{F+g;NT z6%h$4mOFU%9AkDdEH1;L(qkFk(b;X+VVGHkl@z>R+r|qz2I6K$*SR$`a2+8av2Hph zzi^?5InjOVZ>M-zL(*lHhrii!f$VjiXGEJQ>1tAg=RG)2cy2%v<;6(U7Za;?V_E}) z%7dTFSANmu_YQx28!k}Fp^+6;cS^9y21yLwA)P=MJg{l5f4Qod%gXp6{G-K@ij2Y>9E~vmV-Bh{6xj@tgqpkM(@}mXy&Q@vkvj-8T*M@q`Mon@ z>rgSTS^t~iB6;~DykCCUBh7K9tkN9Uu@U<@%60;2ls~MlK zOr(@`%h4x`39pE290J!e;7CBI*zB$lkuZ2yuJ;6#!B@mThiV@3f*;-X(J~TdnU`nz z^6@3#y%Nw^h1%^vjU1Atqxblz^~ud=mec4F2P1zoBhZi8`Im7S1B1Tf45&Fio9Z=E z5=2_;pytk%-$fApGN0rmZuyQEh)Et<%sXzeI;PU`5Kh+2Xnmh zP9Ph8$v~>?jaZpM>C>-+5{be@kZms^Z*e?=Fo&F!Z1qF4if3qUZ4qa|CRKGXuGMD7 zR*-+3x-fnJZN$PHlzdTXh0R09)Xns1qv*YJV(ND;otKivbI+n|udthMl)-(b0lGGy z?Yp~)Fdw15{X)qLanbH{8ON=l9s?X32zF7S3F<*;#MHF=&*xcnXu}};pYM|^iIU2A zp*tfcc*@Or2^d-dU=|Tsy(Q0wY+sEhD_4I{{JJ}UpC$){^2#{l7x!R9sC>FjF;iwo ze*LDjR&-S#Jd*JLT9MjYU*r8vK{EJFBgv-$RSS!A>%m}buYd+;@H8Ioh^Gj3GZ9i9 zuBjNjHo$j7o?>|IC?xt?wY_pd`KZ5m1n<#$BhssTz&XBB?3B?eKNBfk$FxL_h|GT* zD6v%N+|i9zc_$g4q6X$%zKyd4PK+;;g`~hi6aRd<-M#5nuBBM6tBb2Ij#4;1CSy}z z%I5*gSzS!)?~{ssvfP^lX(}}ABp0f5&T`kAvHlBMqu2fU33)0h=aox*3U4T@JW-9OSBQ=tZBE&osP}0v)=W6Ajl*9Jj|yu*sRF@Jom7*IQ>49@FPV z8o63pETC?XkH}yx9@o3a5nUY^4v~j1HC(@7QWXf?SC@dN$ghehbCe?XfBvld{p@w5^eg_O1!|I~ zcALs8VZrrMd7FjDPpQ}DG%kM!W6NHoDa+7;$g6k7Wtj}JbtRP>+*onbR@2B37bf`O zI7sUf-jOK6K}&rYs{uN+GK1)pIV|tm@I-HPmlW1V&=fT#Uxd<{-2RTd771kaY8Q4X zfz>Q~)!<(e!K-Z(>mFMzpNW`PDAi_DR>WM4m&Y%okhv=nzGJ5!2_b(`ees-hbXu@s zTWNMMNW$Yd8biIJI~jS|6-%p5B6k$LOY zKK6J&47p_~ovvId!-V?2G~h0M(KZ}(=j0C}AUe^Am3;?C?(|UBeVa=b4~fr_f_P)e z4D0VhtQ*wOS_nnni!*?V2whIDN5Z5TQ6r`Ip^7Y&@~Wk^(!50nV$@qSwR$wO1_C+ScW40?QOSE% z3t3;fU4Qo=7IBI+6ERv;fcJF`%>Ea%CYtSdKF??(Tq#3UowElq`9{xSH9L@6P4_{~Jd2Nk zsJs<0cc!Hn5ag&m@Oh1ik?Z;tZQBs(sM9@px<2#JJz21?)gTZ3v;2l2irPu>04o_C=% zJoKmf?;~7|J{=L(I@N&TfXb_5&&l~$R98Wp?i7;@AKvLU;+Gr$sU@(DKw>(AHqrA~ zWm`3N)i~Qe&@benNO{3hDn{DYKjhm3zqx;Xwh^`8>>L|KbU>yVtz$d7z#_ZC-b`Cv zIH}wG3fYO?Rbx$A9r^7OFBfY&z1|X+t2}C-ue~=*ZY)zuou^7QP8~z8)QfK(OdP&N zX`8W2VXY55koA8z z7OFH)v^-AJ~#>oA2}!ZZy=M zAoNAobCqiv~k2G<8PL)ei~w=M-_jN7yGo@ z@9K3BCy1lc!IZXJW0lAg_Dk-~XS=&nG zNM{no8Ft5o;ZF)AiUE(>i8`IN1jL=U_Snm+yfrVm6ah=#W1Yf}q%Ph!r%s#xZZ7p~ zxcdodAr@Q)~)48cmYQ>=@);yPg{14k=eZP z&MBk4`t%lw#5wxA<~6#vzO^U08T;m@<9Ya$EQvCCb)kyL}7AnfdXFvi?(*M zJ3;9Ys3hzfteh3P*dL4QL%QpkU6_9rC(|cx!18_0E<7nrq?yza8eL`7)nean6_k0+ zL%}|-WO0g?a_sXIb5a9n5pMksa^U#4Cl`0Kknbk>{)hJ7RJsGVt~vmsZS10*4EXGV z7R~00G+|eD&18SpZ9j^&hzP7t(w%g>$Gx{?Xl=io;E$_V*;LsZMXQ*$FxAMF^I_H) zXjy1VJJgUKzgQl%HUL{6IM(3P?ZwenqH``D4O`V7@mA44`41mLKyb6&QRHh3#F8QO z1j%Bgu@hAEbHfuq4-X;_MG6iZCZzLdh~QX14yO+kxE+6NaB%IYptMVu&LLwwoOEyF zN{8S6NMN?82(k(ty~ec$g=;iDsT#d%OL!Om**5piBD;bWvdQXkQz|tDzQ@!mmjk78 zNz410m9?Xs{%~nj;Y@tIV&IvLG)TE$PXWC@d9A00Ej&!c(dp_qBbk{b=j33T=b$RQ z`1IxZ$LD_suqpdg(p~}AvMcO03bwZsNQvKPu8E~}8+K#(h`#BpRaXYZr8)L~i1Swc zR{^ox9IFH+#;4oD^W8WVX(bcl0MpLnyMkzYrrqr9vS_O?fpm+kf->ySVJ&Ky95O@v zDxkl716CyFVbLX~cc>kv%QGK4RWZ7_?Fs+|1@nJ+Q%uW3Ytx&C_miRA+C06wpBS6` zN%=D(etOq!twD^<#yHa95$;3qGQrXC(No~n_c!7)#fyZP4aC!#31M`K>%=eA{esZ*KDvqX$YA^53SAT}xl$Bg3j@9PW`Dp5cZLN968R zF~G;(!qmdX@sX#=D>rG<aDeC~gY+D^1I5>)#Y^?YB%by2Wt;UH5T(>Ze> zGYN_{I$v#nrtb$g@-T8~b_5rxsU=kq>4=7-dJFbL7c`ct#669|mMs@*1k{6H^8ScW z=EBXNHbcDJ-YX4-BqRoz^h}G@QNM8yd`LFxT(l-ZgcT4aSTFFzAMMt_`Yi;WU1WcS zIT$15on6TZQ|ujg*Qoi1S1cVr5nB6%H{wIN_i(UWqG62Eon74N?iA?KEhofrm`|k_ zY}yH_(Rl=5t9ZJR;*Zp3$Wk`9VVAy3g=2=3_p2Xg6hWA=-RvH~86N1iGu{J88p~o= zsEvPKs(zcwiHqZP=GB!{xU>gHon3!AT^QAi`MOVL#zx(noufY{jYpe56juGic#FcJ zEG8BbK14!d?B}?TMvZ?V_SDE_H~J2azf2p%ni`b9-9qJ8kFt zugiQXYvm6gic-qRm1N85r2QiZ=|InI@p*g`Pui0fvc%i^yP`v7cJ^nq1FMDjByEs z!w84dDOf@7*aU~^)uwkk2YAD{WpZZdeYWXRhB%)5M#!V0OdjEysvyjK7rbguZiKQu z{+-aF)NnoTR(HtT?_g4gi4cM^?1m)NVg}v537YmmWiPK>_|xQtz1)AxUM_*0*9?PT zh;Lw1f3Q99VB!xdFP;V?b zSy#U#5zG`_{IN)lndE;dVzKVz)3&L_gQ~|PKRyDmP8<~ov-gCsnmrWL`<5m|LO9Y9 z^f=L}my4#QS$V5=)f%3P%0IF8*svd}+7noC9LHar;qD{7+b7o)K4fUI{|cfQ`f3X= zteU(zBzoyZ>%su$Cc(df70kNLZjMc@94u80#_!`PtXmW;HsF7_$t|t|w-!Bzqo+<@ zB{O4;-6lY^CYY}`3|p}*<>+U|JcFIg&DEc#Li7$ZGgn(u5653{{?^11dPCOx2Ys$lM%Z79io>#!1f>5gV$GQi6 zZ^ET)e73~w`wf4K?P(?*>Xbg1D)GaA88^$!%!s&}5~-0oJorGkGo->)H%!e`^l|Pu>;)bD!hkBNpN4h@8FwvJ$96pfy35K zVq$v+3x>uki4n0)u4=y;2SzNFe1)^>e}s!#A33iSQ>7R1rR zm{ytLKNa7mE(r!18}Hffw&4r4fD$@ZOlu`9!@z3cS8)61mivL_AQHMq_qi&|T|Ky& zaCM$j>}7wQX~g?`nKE${DN~MWg<1?(aA8t!rIdPk3zPudFTOmB+~#(x5oY0-%qorl z$o_68!@fSWFT(5)=a>W;xXx|YXD;X+B56GPhNar&1$=JxEA>2BH!Cu>lR6e`&>qA)KPCW?S@-XwE0};)_$6K}9Akx%g^e*RlERGX< z+MiwfAk?`YHIblep1I&x=fx~}8Onc*%>}}5(US*WIWTLUcp_?I8f{%A#)2%2i8p8w zj?~ns<8!5&XjmWZ3%7~{%D8@_bl^F_|S{F2Ou8JFr%3azef@< zeTRP#jMB6AY%&nSW7x0!G$Dl20;{=22=N`SNb2$RwBP97=$Hc+Bx#yz@!fycN0O&X zouAd%uZqqqm}cK9Q};|Ej#FFWvO-ccuPkwgE2C6u9+jv6yH>h^KT9PFex~k?L>^+U z=wSeKK#RZq)cid}Q&Y1(V2JQycg$o+QpF~y|Djr2r@OT}@{yaZ_BBLczyu=N+CQ6& zxZFV1(VZFtl^b|L`PH=(uNQHX)yr|o@Hwymq`S2EW| z^52dk@CyRGLaKYxh>6T~4;k-n35;Pe$nIYJ{aMN3)%FR^(IEVMBavT9wMUyzj50s9 zH?S36Zm)nxLt49Xs3aIGPA28$tCAM_Pe!Vp;=jP?(N$wSQn1o(A4d~K9qr10gjjct zt7#;6^O-01?(qmp%m3=36d10lm7F`OZ=M5iL&w zb>zALzU#tP|JcIKfy?otxl}*B1_MzHS&N8Ff|ULVb#Tr^b#5@r_p4ohok2KcnsQf; z2$e%xlB_#}L+!m6Rjpyh%JI4- zu!+q6My5{S4;M_vx2;{jvWD*P^XHXf0Tz`GP?-js-Ir^{2*YE^M`|+5J=3W8E3>y`czo)?NcFw_8AuE(3j|5iUVZz z6VI}(a~8MKAwFM67Ot+{<{T4&?{n~Iedw!ppxo2TX{3XO?ZVo`>g>f{TFnY{drTA5 z%~gYRwGpF>V`*}h4;1`6nqD`!<>)2*ZLTn5wII?|t}k^Akz4$KC3?Q-3F-XQEiTtl zET9ezx?sNfypPTdqfCtW$Wo~VuowD1&@5L6s52|Fh7b6czh&LuuNV`qF8o}n{M01HChoWMORus_S<+tcitgZR~Fq`4-}uIfaxNy ze`6C)?oVYI>fyJ4&fn2Fl>?~rYz-?WMv~hm3Hu4gH(Oh96*E{6uPw!N_Sp%(*pxhdD~pDoX5oD&C0-ZpGON zzZZ@dY8K}^!(=hM?gY6#wzAHQS1HNtetRo&pMfi??pOqWD3eJ^b$>~RM>`@9b$?JB zD@dW9;EP%5g`mQZi&rjJ3WE@a-&3eOVttg4VBgyRF)&^#1WmnvcUk-OdT=tiXKB$z zIo=%xl_aIU7gDXB%S#FWr%^6wU}#rmtdTHFn!s(pCn;*fSDD}T^)ixqRZs#n6zYvX zzVcr-@P(y+szJ@yZ@W!4tO@E7w=LRThhR8FQx%qURfjBm58Ys^5Ou;hWl8*@%1obP zI53+|*c{S{JiuI*(WImPqK0xMA|_b-l(IwHC>B5KG&Q*dqZdQ9^7~ zbTDw^AhJIWKG))NM;=V}%wj)6Mo7GhLkq3-V)3VsxKJw6w^WPTWs?H!AIl|wQQ3GxPOXADw0iRIo zrkKKiGzWU~GKaiL+9j@mw&oCmm@uO}*D>Re^PTemt{gnh5E4JG!Nx%1&33^zRnJr1 z!ih@0CV@A5G0rax8_Fj+etHZ>Kgigr#xXdD=?Y=d3W90-uK9LXJi(#}`-n2u z%-yY#s>2l;DyCD{fBn;@BeL|57WS9G^mY=3b=b9@FPkd(Hj?r+v z^xFW?mkqODuL)Irsgf~G1s3FIm+zFBU3GK@C;XB zH6uG5v*%NgFl?Xn!T__{@p=;13kSdLKm}T(R8Dbjmklkc8`chwKr%Y`!i!>m8`BkrELMiS?sCZ9pd&h_T1Pq_S@*zMol9JACq~DV@$z*1=W$0fOwM>k5eXtROG5pf(^G>hX^S#;?zU zOVfw7vC$8c#(Q37kxG1i2h(Fd@{eWy5!EhwmFXr2&na08Q=rD-0aYtKKiH4?`_U16 z+C=EOJvw4UtaPr>fS-v=X|7Y5W$=2i@Qb@%zXaw*eJ1@&XWtl`Lu-|PQ>jsitWTPU zKIrQrkFHYIBJh1%TNjDNUTYu_Q)JT~IrW|bb^*$d=%oHTPDHhZ7RNR5w(`F81ZwSc ztC3q+T(0?xT6@S&;Fl44<28kdB39Yy@vh?%bYa%Ac~=1|Izkl7~#It6`E_1bLS z(Lt;AOQlrxJc!S0XM?jbsZdFj>;@2PXug#^URct?OjGi6-ypj zOcNeo5Bd_6;(hj!QH@8kl+G9@%Thj^R6_yT+35Uz2Bj=9yRgN4{n)C%R`QdU*_CI~ zULEhsmH8X&mvCX*3?M{O1 zZ(d~`WV+789j*C)Sr(!No%Hl_p5xb&uzqv^tKA|s4Lw0wn1)rL2mKt%$a^znoRC@n znSt(Q2)olXtFfWS5mm8&I~nQtPh$jO4{Rn4-;Z)s zLlQ!5#OA`_in-$|K}sy)UDts_jS$2MAc8iu zab%fCJx0o_9?)FY0rLl?5w!mPeV!bScDD$W7HFhVR>MdNE1cMuoPlD2rss;~KSVee z=N^ck@J^zC@O?sxZ41;!BE@F%hf2-Qq{I!QMfzzvle~D72JU?++BFH>WZdj^D)peW zfk5wYsk@9;R@ux?!!gz{mmnohh@FQ$l=8`yt^SeEn%`4&9WIsM;W0J1IaUtAC7bQW z_TwgriR>l6ml12Cy*`+dTvQ*@j<9x|-nL;PdDmxuN%VKe_d?G>QF<)s?nyn`F6f_+ z6T)mxCy2*4QQADkZ%sp;;7)~J>H4gu!4)1?Udiw#Sz z*mU}av;fUU^0ErnjBL~YTjemyD$aYQ>p=z;A#4fukW2qkp=<`PjmLA*CP@6+C%hE_0_A)wmYl@E0N!aQnez z5_v>qyE_l)#wG)1IaF{huYSu?XM2^Jq~jL{z7q=_X~sY#L$K1&LsYv-y*SdTkt<=y zV@RI}-bA(BX~rpO?A;yj2C1e_x+Ik;^I3~BBKlZx6FfV|Tjd)8X7}I$1GO(OF8XSJ zuwLGX0-en=av|V7iH>pc3n_Cu0_Gar=g=kwa8nF%Q?0GCi(9d7`Iugf9XKm&O{w^I z!~uj`Et7`xSIjz5;b$kT=-&E7m#`8055WYhi4Je|SF5(D zUnL%qB3oshMo&}ZEd<`2l}A}b!t`zkLl*j*)(Y%BwF%ShH;bDg+WhWf1JsypBghH% z=63$_8#p#pWfiRpYo5*!Uq}sla=psZ3n04~OMtWRE5Nk*E}*ucPPpdGySoE_SElfs zB=F^0k+Qbu8<0Sa? zRAjecA+O~Rms2Sv)>Pm>Gb47F^Ud_`8mE!VVzmss+q#(vZ^&sYs-SshtG@Vyzm2v~ zfMlx~v~1vieknBw4?)+=fIu_eTZd4y2?RX!uv0HT)`Ef)lkve?YXBH5;X?B#`b03L@tQIF<7#NM{%*lpG__l+ zqD!1~=M8i$Vd&FB}es?a{ z(deb6g=lB5n_2?uQv}@1t2Z<6PSogJTMsG;upV6~_LTJ?nHS8MvzXs1I+m^UF-R?R zaVpPm`p`Jmsu0i}pnqxz$chg9KLM%&RsHT>1BC+ykuxjD_fGYHJJwC;Cq4hu#OPGK z-p^h9p8+9)X(}O+YTN_N{6kzQ@`~`cbl&V3fxW-w1J0YkvE#eL$y$|GMu#@$)y$8A zI!zq0U$FDZz;hnkV^T;!JXh|GV*yi(5**LqKz&Ln>CYhQ^PSH1*2P6*fN5<9pehPD zM8(N$KfP0JwE?DoeyR-r~m7VDdog#}7Ydq%htMQf@ zg}@jwqjb5tcUD=e@S36BD?<#Y4+wC=T(V!rz30bu<&*g7uY4f5jR)hrisb8g)KQ23 zyAUDrnL%9T&>QB35@?Vf18rTkNYJsJ!ubAJluo+~-{3}nrw?W#1pMkowfz%GE#X}L#hZ>7hAKShyz|F9i`Ibu(Ybe8lRd6l&Pc+mAvBNZAP1pY8`nCV* zOg_P`gnd6!gSAZWpP*Ve;YUdSNH*123XQzi1eW!#w*#bcgp|d{E=boG%b)IW1?(F~ zx)23JIVD}kXg zfOX5r?PWY3uY3dthgQ|U>m?31AUo92;(G8Yw454$g-wN{+E`WkTJg24acEY;g#^UU zPdW5fr!5aaeZ$k%*D z+sW8<=@*w#+%lrxC2@kHh}8lHcc;WIwnhK_+mlT_==wZ?gtf`1p6E!S&W})Nv1y*& zu`7xuFzH)*1}@#`c@?9AF^HHsn1Cc5>|GgH7@2tiVhW<# zEUW-#W;RAy3W3Uw=2ThM>x2o#ziXBR66d)|Loh&h9RuJ1B&pzFJ#e}aQOK-SF` zz`_P#;pAoE;$>zAurf3A{KwG2nHL}qbhk1CC@=zK9qd6a2oz!tj$Y1I7M8B>dH(Yi zKy69`VBz86qW{|+AYun{wlW3U0~CO+mLR+LjHW5X-m?SLWaI%#jv)Jg8O#66fFAJg<^Wh2S^gXD-`;-( zvaSD5!UOW2!|I?D{;E&h${A$( z-ghsie;=-my@Q9n&wr4)mA#qyUo_0z9GNuit(@FIGUETXc^4u4ky(IT0UXTC%v_v2 z0FV;^f5rpg;$Q~&{%@TBcHlqk z|CTBPt^Qpd^Ph+^_T~-%o_~>e@7{lixc_@HsQE&maKxpYhjc=3q8` ze`ERoyaa!{{QsN%zoPuVj{pCyNYc&L_HRA)zYP9=^guf+Td#jxyw9_n>-%mfIJ|F& z{r@%90{v^De?=W^&Hk@W#ufO!AtLq`w*PITm5Zd6C&*0M%GK2JUqketQ+N+%svrurM?KAKm-TncBQxDlYG5^|uQ2zEc02Qo`QU!R)WyW98rk0G*wIUI@(Z z1IEh10q|jYUs5xW=ijppU}Cg)aD8_Hyp!_02YlyY z^AGq=#P%QXor&Ea$nws_{vYt2iNk*(`@8M?gX$mZ_sSgqsNab?zVD^OKU%@^UfMqj zXL&Epd_Q&Bp=AXvBe_sMju9nWAe>C^KURMu?e>l9eb^8Z= zXY2kC_|CxN4`h9h=k+)IpUp6Jb9R1zYW#h7y|2xG@ZXOp5Xci`im){AV9FO_T^-VT zUnzp`!LU0nFhjAcl}y9nv*g_7_V@udfu`a|(7N-jNWxGz>dLkR^^Nc{@r%!KQ!Q+G ze{-Bl%hRW4qi?EHyDbQdlUV%|xyK?keT47?4C=yrpI)3kX$IOrHi37@Qe-;0aeq+G z{&?@vE9F_!SNv-~eadmMBA#cz}rzm|y4-#du7kmoy zV`Rg=wV_jUYIF(bp*mIqT7?b=eN@4{tq+KaWj=g_aHZ_a20iAT}19)OX!N z7R`$FKvU7F*1WP^_D~~oPmyG-e`?v4dhy47>7pj+LZhV$;6V-{)m#;YKwxfSL^c&( zW4Oh2!JRT8?|AK0(e!7;L$eA|v+tj#WQr$GL!}KpJ}aPBgky)Ze&CI06i-rbZKjZ>e5xD z5T@L4SB%&m?Pad!Rk%6dVX}cX)?>`$M(7ybf2+JT7+b06<~&a$FW_cb6g?L-Jt#iD zl-1m&Jp0A4z!#^Xkr=wOe{&XLhoNxXTZ4A&7ZF?OzTfS(be;!xu=lPCFT~ssYzy&+ z`OPuL_$f?N&*oyR9Cw_gVm#{9Aas6R{z%TnR1Udcv#B-UGj!h1Xi{xi%-}Sg{?0n3 zagn?W6&ORN+2B~B$VFLPGMaONFVOS5nObj6X{rXubw7A|p>=iBe+cb9nPNMHgjdA| z4h6~R+l48Dh56a1#2@XRAl+u-GV9~Mn9C!jIYTYZLnX8ZD)qkk!7Zf7IK3J4?n8*Q|qG?hBS|V%!cY+n(jz_ zCU-pl7)LTmO1N^xe^V2s3J~DZz4R^mu@Y-QckB%c#nFV-OBsg8gR!e)Xs2D@?%ZI{ z#~@#d*A&-fs7UN8Pnp$PmP>T3t3W!>2I$W9V=!2yfXr>z})|A9H-DB%xs~o`{;I`>QwES%Ze|-XD+k&|-Vh>NOz?chDnD$q+IAImV~owPE=oKLZS5qnZ#-7y~Ja4wgRx#G>i*{R7LeB3B& zAM@LIHO)j{P%wrs+=%!{V$NeEsC-o63n!UdbXz6}y||bBF`+&gb{CF4u9cA68w8;N zM<yAQpzq4kWI8J(XJcnX?)HTl@o0 zzPo^>M5mE9b-!0^nBsjhEHw{a!9KPb$2@=bnl^hee{>7SN0OLxByF)Ym`VvE+1u!n z_6Ah_#aRRGEDW6HM55aAwC5#T+Q!*Yd|n-bItD=k$04rUghu)1r`mx<-Jb(uel-KO zHxD*}|V zxxh&He~2N#y`1Pa_ew61r)6ss{6&tTVc_@N@2r`eC+C)b1nUwiqtdWfn$OcIIcghy zcJ%{rBXP$YW{RzOB)1V?Jh3Z_e2n+7H0kh{eqghb@C^y7(?iL#`|W*~po)1< zPB`-nsV9-i?(;FU*U?**N$cV80|R_ZU?I>*e+GK5F3!#}t{7x~N!8jIu&?Ko)=&V) zm_9GY>_(uGeMk7ajk0+67rn?7yaxj*9eWM=TAtu^Q*N>VSvg2pj#dkzRU)Fo70PIc zLAEV^0MUeSJSRNoO4lsryvQ;g=oc7Z&kFp5Y3WAW)Oy|#0} zfhDJhj|hq>zrb3#yKmYkiZYm3-a6bgZR95xk%gq+=5qaX*JUgufn{F{;?(RPa{IRZ z$iDg;q0xpJ5dN&ww>l@me2^Wp`Z4S@f0Aq`3y`Y;n73tq_M%mL0RP~78(}4-$>{-X z0LR`Wx2q0w=STI{RlzrupWA0vJW_Q^y(RfY%QfUCuhcp&VB$aKclvC!DhvN279=CY z&vB6lji`>~?NU&C;_D`FoT#uEP~1++^;52W1`+b~ZolPnCv275O9}AB=khW(fA>?S zyD<$>H=}qM0Rdaq(q4ibc>*p*&H;Q%D}bKOzdAK>dex;TT^ze*V@CBYA}+669l1VW z)U*n=g!T8gV2Z$JFL8Z^S~N8t>BV!EudQ?G&gR!r1%}W1930^ZWZO&bn0lc)6SXv$ z`&e>2YI%kPwSM+ZDP;9MX!kt|Bhaq0=TPE z_C^&sADShBF|bfA@m4dz76&}g(Xwuu<5B{iRR{wJMOgW}{F_P4r_x(8M`%*c4!N%% z~)8Y-bJSJ zF5z)l_v*4Rdc4r3?&EmRp?gt6S`mu(UlcHDn~^IOp{>qN<;}0mC1;rmgb;JUM&lh& z$48BTVh5CHw3ZX&eSYXHy%D8+S6$2!GAHswwCR*{Al{+>m-#m42 z+1ts;^3UL?Nf8-Gr{N~!nu3#Tn z_@}@&^|khfYu0j2+;8QIF6-0LPnRhE?G&QH%a&1>zsLy|=r^wfGxoyqt{e{Kl9oxO z(j;@Z8KG7!L5fRDXcRJ zm1_}LPFuW9Scl51f3yPD6zJ^a8bX@-2h8b7SD4uRa(XNHo^BJlvYEKL$^=Fn81_{u z0wVV|>=OxOMe7NK?f1anI7OHbyDd90R3&*TGt}pid}67yDU;91*F$6dc}K1-JMiw_ zZekSPtULE%3p0=w@qbgqNmoq1v#qrkJb;N-1~3w zq?Le0Wsa=!>)h$t4<^-@T@S25L?6Rp%xtu8&IfZJkw12jk%HhR1<>bg2oVV{%-76A zvJzvWGDZ-~(Tjp=k(52~CF*zzZYIbTTSAukvtJ6|K9gE&L$_$VK)xtA<1HRZRMh@X zpOb$kJs|l_e`}6Imqr4vArkRzVi*F6UWg67bK8?E@q&{KzvB%^auq4?d7EtT_(=zb*YY;6*7Rd@|}K>zOl*X_8j@Gvp_q|m{2TJKHeQqG=G}IM^O#b zqvIv6a>h)KqHP?}`fXJiKuS#>50Df`ljP$zC7~x8e~ZOl!EvcmvQ2_OV%YB}27oS0 zYuML{)>Ub}v+~p(Ng!min!E6Hj@4nk$Nsbz*^61~8$|cWSr{sX%ka8inm#1xA>w|< zfI9kY8G(2A63E(|D>U_SWyL2nb9Y-RWBwKF?~%2$n?2>1!dYez^`#=o zD-cZbC^=-!v8R@m2%{;k*H?yitrlBUY(mgWC{@X52pqbVJqi7pcPpXFL}EWv(;$SU zep>VV!Gu1TG+fR>lG)587KqpU8~1HAW6hjhf68#Q)aE<2&wkGs?+OB3O5N+40Ru?3 zVbKIHVZ=0WP6)Fq<9XC_=+1=>eLUMg^0D8j&L!<C(K7*&?{Y08; zVyg^ra94KSv_ws?Sp&P>h(OI5uy4ysPjP_(0 z&3F3sL^j+Tampnua;|utk8fetJV2X!QGvDuNaD5nDdq{hZ@O?Z_t}7N>bd1 zttb>Aiy3*gPqiSzcx%(?f5Wdl*qtP_OU`7Hy-i`NS{oR)Y2Qi$MH{?*nVQ9efx#U~ zyrPKWW~82el*&?%L`-=~3k}LuG^8wWllgS>5JLAh&s*vV9<@HCuA5k?9?F34WXXWmqviEc=#T1~3UawSwP= zuobL--Y?rU-OdT?gr;M%70sOT!+`V-y<^zRL~K`t{-K5WQOmT&IcDp+puedFlvp3k*W4MF7laNDN0 z>UC1zB(F%6ZimMHpsbZ6VPtkaq$$mdMKwRanVY@hN`Q&Bow83=@YvPn|AF{v2)W}v ztMPoz1BaEYe`T2W)5v5i=UUbRyYxe4-mH!Dg`Z3am_&4*-SzB6s(V>Pg$aGPUaDtt zP~heus!phIL-K|*fjo9YC)Fb{+X%05o(Pv%jGs20(Oz=9Dw))dh-R;=L8SPa8FG6q z3H&^Bz!q(w+dA|w?`xAC?8>0zO8nyzXPv2&{A$I>f69z{imNGH3plXLK|Bp@O3Sg& zoUw~a?fX}c8?##DtW}1~IQOyOAH1b1PM!f)ikZ{nB`+^t=50o5Z=_3tPmC7Ew|JtQ zQu_!mgU6x?M9+g}+NZH~7&p;P+7iBCRX%H@q!Nf0RyD|c z%1c1V@S9sst1y=`rVDRC5^e{2R8NTcoRwT@sfg zmY;PCc1w#Hp$uNT46w8LN$N2zX+{A(pVG|rf21*sZCAqBegzKet%rN}f9PWZz!aPX z>%0`SB+?(4O@-@Ub#OX-O+KlZUFOE`c_TQ3s{^$R%c zp&7as6=#dANa2&zjV#j{BDtR!VKhnYmTxBVb^w%uTn(Z~gD&rFCT@Ed^0#jez8ItB zf08O$YrJ37qOjr`lr7a@vBvk7-J4!gHFB(Kz`09smd|QA4Giz%&t*n?T1(@C-B3bl zQ&su9)-Si}NzZs0A&>pWQ|{p?%bvoP>eS)m!Q}B3d1|Em1n7X{ZNMz1$Az#K>RN<1 zgbv8znKx&iV#~&f!9BvQ9Q(Fu3Pd?SfBuyb&*UvPFQ6jXg^DRQ_oV#;d7_?Ceo%K= z^Q%W#goa+1g4zDbu}XW&>za9O1ngv;2k+`C*~kYYmOV==u@}t9lNlIrIFg~jQE22%Yy(pj4E1a=8w19^ zhxPz>u-c)BVRCwXnf88ZW5W)4JlJkCk%~r?`$8j9$JeX5yY3}i_8Dr5f7lft0Cy?M z&kQP>7#Iu`LkBVD&+225wRjvYdJOF3Gbvw6Yfr%PrUH(Pa||=MG^ow4S!G(~(-;6~i*gb@O(TShLT7+5gvjq5Cq8GB4|z4WH5 z31P7EB`+w8m|Q!PB2nRse|eZbQ!38)GTIu|X#Y?GH}mE|EEycjNdFL>ld~9K^`9iU zEcG;U3(AbhLxKtzcE?l6&Yn=MI2T)0cMn}5J{0G_-HdR&VeFQ(83Uvf+v@N6$2Lg5VG5_DpxWtdbZa$pS@&) zJ`;OCPpo8X# zU<$C-Vf-%DcrzrVsDx8~<1CCQqf!2XVOZ4`v!R7m% z5M2L3HVwJhf8kGoy%Ll`yc`kZWmo6bd-GtF==KBe>KcwQH4ie@Bi8_|a+)ssOO6x7 zevnWHUEEPTH;LCbu!DTuF+s6qd*2yGZ^aO7{1fu5$#j{1)Q2-4*l(j`AL3XM z`pBamC?_-;Sm`54gt?x5OdNFtE22oD+;q{1l2L zXub&CG(aTR*)AKM7;Zg}VB&c+4cvW5Wc>cJ+*!};Rf2yuqQF;Qw4T#5yE@e+yA4;g z77c?iWhQ{`L$qp4mzHa3bBxZ=`C%W zUtA;7f62^9(2glpfCp(UV*4|-?8l%OAH?2g8Z4el7L=HU!@xk)K=q5u-1cl+AEnRc z@l3wcILzUCMMJwh%^d6vla&Co(3~-^op?!csa`C0ctvkx-J9gPQ3ccDh}}=dFCWGv zi7HX~*`G2A`4n?d+M5hIuUf%IC%8ik#XlVne<2nZGJaEWr=$}>svCNaU#UCjOyo!g zDT|vCe`%87An1*I_e>?rlM_Bsw<>9M*6MJSM z(bGUOd9o>9uwG-O0meoeyeJlkJJV{xb6c}FYM9Z}> zoHi`*rJMx0XpJCpCo4%j-5}@;eJkvRalsd5ys`v|+}{22>iCaVclJ7;9g{r!!9?ewu(P zE-J}G#5_T9TNm#&WoI`0;U>$_Vfys>I~)eP!RWSyy%;lW&^NqPuvXXipG@+WfBgw7 zxrM<&m_x#it~sWkjGQY;e37i;hooq3S2un$<(EX`8{auQ(U_eWT-WjUuQ8u|m^NE? zDDMcCExARvbH2m4MG1}5`?YGrS<5&FA`!S^GErlKh;Czxp=q|liF(_N(a8NZCm8kl z;WY*<`iThB?}Me(!`t3ib1v`aepB=9&0CIadg z%PxiT(kybE*hJ<`huf@z#Klo+*~j4gOENmoWtt7-%V^zo@Dj1lbOz@RlpNx4;LO2f zciWQAxlgUlacs$fv7rP?9l5ecybf4-Bor|B0^%#wV|zlfgl~lcWS^k$e}Salg2vWrbg$RLGRg__5vL-%DI|kL1gv^0ZYv(NMTTshx^* z{+U1Ng^vIOQ%05nt%=Y@Y!ug%oAw}?m9wC-cPaQJC5-P%;yehY_mWa{+gky(%XbAA zY%6Dn9`&<*B{RWOVylNl^1`l``e0v-X zsW@O#RHes~G3@eyTktjPOywoa>K8b)U;8TYSK<4gA0{jcf(qMfp;mn5kT#~-f0Bsw z2-LlS(4%()D8$N;HQ z*6QRsLtu7Y81~I%*2uS*>s;pGhyvJvmY}uV=bm!ne7hT^TZ52nrGvbJWw$cCy|kSe z$IPStT;B=0lxBP|sH71Ls9m4>!OKhd-ZNOw9+MhUu);AQf4(tHbgv6u{o(xx+6-s_ zQg;5e?2d+X!X~Fks~*Yha{FYWdqO@iVq?BpPTB-<#mLhXm-?b-!He!1Jk`dM|0;o$ zg(xuw_NRJ-lF2y)0rC``cx52Zewj!DOG6c8=T$u<|GcXN6lvX?0ni-ltBMBmC{d0< z%uJ-}l?>T_fB!S@=GeyhK33bPvnqhdb?4bjhwLa)0X;caWeA%f00*63ntONbJFd}m zvTY2D*9*Fj&FE3!M=NH8vL^pKx8~d9R2B5JZix^5bVT8ka&EftM(9h&_a^boN0}I+ zNwTrz0{-NuZ6K3-y)VHK_!)pRs0`!WdEUe!@?q>9!t1EAl)7rf@2XRuwG! zdbiOWe`FxRKVKF6dO#C4Do~=moFJ)F4m}XHE9zs}yqG#y(UOnT?uhgAP;Rr+b1}|z zX243gKlgB!48U%nGR$N-GxS(nGnKl;H0;W{;+kVBfW<%MGCMbYgFdX%X%=|R3;Xm- zXf&vLU=dZ3bP&r4n+8Xx8M3p;EU@$8<&ge*e+R95fFMXGLAXC>#q ze2(@qatiH@kb3+9zo8lU0qt4gv6o=5WJYlBB}gWB*QJ`fe}J^>aIcCxUL~g1)$K~rriB5Pc4}*HSIc$b zR#v3F2-<>#P;c}yG>)y<`F8i=kwn?&9}#-uZS^bPn*2;Xn~Z+(i#laz?x~Wm0;>D( zqOMDW>zGJ)3(o>S&KE2gZ5twd_p++&kL3pjIJ}@63&X>_6}Gn2?ZE`{lE9YUe;}X| zT|;r|yW1;RLOFBj*&)A{~oWmKa$}dbYYWNY^GdD*m{RAa)GSJ$y zUq&^2{FNM67JTe`4LUb>;f#N;e-03Mu+TxB@m*%ZzRT!IbvT>4*ia!XL*}z;7^FgU zoopyYu{8?DH6i7y71^wt-UYU6^IGK<4Q=EU9ICYX;3wm^j%Xeg3T3G5?hP5F0fFUs z4uc2hx5UMlO?%{MO4xf6aJ@s7mJ% z(As9f=SnSur-Co;wGaAY5F9hV)`=$7DzU!_lbmr)lN6#>oM{GZsvDC{gU2o}w`@Y2 z?(tSnwMiv}P?gyH66AOUFssUJ)}oU&_2ofWUNL!hkHmfmY;&T*Lv)Z0vyqbVF_NAn zN2)29Dp`CGMWKuKf0U$ve@tX?r}5cyAe1f?2b5hKEy~jT-h)6}@Hftxt3;p(h^*WZ zKc-jVBA6VrZAMiMs?cZNOj#dXSYY64W~&`3Rj1C8?3>5gD6cvhA+bv5JNyVH-`q%JqGdk%IVkB+gx<`F@dL#BK0|@HyfX! zxkhvx@Xde5e3JKpkMBUF?5I?K-s$S&+Rmy#;A&^AuON}IF#E_g6;4Nw|Fb6s5jUf~ zQcLH0{PJ+s<{@g-e_osnN*&%q@vmxY-S(8PHIYA6`QjEty5|c^O>rDXmD*U@TETuA z%GvAzS^6s*o$>FEG#4KdkVSMDn$Ge<{fxp^OAdp&7nw4eG04*VmVW zI?pd{X9gvNcUSXBX(c#Wot&3=+1eq~Ip~qW*zhjc_hVScHe58x!=zb4A^ziBoTKKnb9c(tWZr47gTAIug$9mWm`9@>#Cu{P_f_yky1=HJG5zvRXNV=SN7 zMMulPxVEV~b^L<*Jy?ee%HU+e(g$_TsiLJ*Hc*BM9 ziRbwJ(tXUQ(dxf=27Tyxl&kwvvR%f>`ir}X*=nj9gE9!TSwxTPM~fPXVQ9vJlV-8g zIO@oLP)8!!J?ZM ze?9!ibCZJw-IgY{{S<`UE89H#I1#Ln9d@L$w2jK^W!N`~-)rrU=)W#X+~@M{vfl7w zPHnC6OI|B*zz03#k)b2u*lF=^#pYJrud5IoRhxRl*ImlW&3Lp_gvm##WFwG zCV5)rlE{4?{VJu@)>*V=tRw4%HpxFqo%mUoMc_Z-ovoLIbCt>j>s|19SmVEue?Tk_ z_3!BgkM8pt9t`_oPRS*H3^qlfx6`;P!0tK4wr!mqMv~6s=-_tJO|XNj5~B1C-T$5g zpb6EOQ|UPu_CsqGE3nMjaKl`r-)pQ6I$S^GI$f+u=HT$;W2L9krjd`;VbibFdIOkq!O=g3yUkn$K zY!wD>dg_Fx2C|P{d!qKjiwZ&;Mm~Z&dh85egCF zKb)Xf)SuZ=3biT$?q?CK9BC|w;#FOJw(-HQ2dRAC4Yv+{@vX`&e76pL&8jA1|GRJ* zrT5NSVo4wFaF-v~Gj>E_X#0^UD{pi@ibSNx<~J=v8haV5U`bYoAQ5mR!5njs&^CfZ z8Ksi*Heutz<3wPVHxinfy>96CmY?tg1La#Cvv@W-_Bb!%%1vm=+m~8<1R>ilKaLpQjMXJjw?vO? zc(CfC$kylS^X-YMLGhW$)XGTAo)|jV-7uBBS3s$mC44-An@sx=J(V*&9skh-v$x=t z*-Er+hoJT6_Qjc>JlRig*pQ==>@30BcTx=?Q#q9RPX%6O=rGDifFriK(^~Cza`YzN zkrUIciGGIZDL0zjeiXX?Fwg+C(wc|M}soBIl#1*x247_Xz%NWmd- zk>3=W-xBjvx257*B>7Jtk{DKGdANI3WDwh8lCg%2NyN1}c?;pgv5$!5wFEoCZbW%U zN{c(w-ukzPN9FqLk~l9eH&nq;fop%{bczje3yF4 z<@Jfnk1`!+@)GvoFICd#@4b#|1_xH@P9WnRo`f`s$*nw{^GlIB1k3aWiJ^I1vabub zd2$Jl4lL6u#@-6q^)rcXEtreseigOU&x!KXJs-8=);2t&nh{H-!MHi+rxWUA7N^}E z9a!qYo8{r8&xKy zZhm|)X(8tjCRK0k)KwlUZ5z2&;64)P+}&-Qz$D~47H<)`6MFlEWK+IRizzyR{wn5|y<6Ide}HnhX0lAax$B7x{B}cepRi%=H(zXW4nbS8 z;KB$vCcLi)=Cn({Vl&-o{r$nH<;Ny11o|tTNn1t>5Yt~=Pzk>m^W0Uh-FXEm`vGGX zpSIj&A7#`pjD6_ZY1&5phJCteZ9x9@E-rRy7F%{GUMav^huPTTT&v$MI$c!qU{A#v z->#)Cb}t@dL`7{Q^Q)fw#D7#2=1-$NO%&HBMc;b?!>q9&M6_B7Xp?H8~a4kkU%axnd%a8JPK@-)rpMiCSxVXwB@t7W36>0tSC- zjq8Y%`_a^LxtO0zIkMtvFOW=4P7o?zH~E_(Hyb94$H&|^9IH2j1(cZCrN{-;3@JQz z+sJ=QM=0nSOz(6xC(A~-qppm)y#3%M8qnT80?Q&lUGRe`)=ph!k@&bSY4w+p;UErNW38cCWm`p!b{sZv~z zvwiY#E||@ar!b@`AvLbY!1~dVLQi1R7uvzsKzfntuw* zqWy@b?k?YGr4}_mt=Z5N8vhpE9oMhoyumZM9p2z$Q!3C(Ad<7!9q&`xHpeYv&o$qY zq(_Wy^6=lX0T}#!nLEM1YY}09bZ5@`-KH0r8LCYp&&=*d7xe#S}JS7KX8%E@jSEyNVMUm2u%{^e*> z>sat{+hT1NORSI!Ik1MUX?%@A-9S^U=yntQaId){#-;_>Y}b{ExtN*S6GggX6P2}s#Du|q#!3O z;T&{gaV?BF%bz`k$#W|elwH<>#d@~(h|t@3ypuE+QcQSl7TRb$u{s%9h0KHaPrb~> zb`Y6^94}q`aCPJ``A+C8{&qsu`Ur~V|_VpZi{UeA2uy4LR>M{1(m3Dexd_K zbn-3jdt3anVv@Ouq`vMzN;MS2t4@5HsS!Puwu#o7`SM!OFJFGsTFyb@dXaB21MWy8 zl?EM)7?w#68Z{!b(&?cmN*hw)8(@q)|2}<1sXy0mmHRlHiep?khHO81r+6QO&(=*5 z8rEuOQbi+ic>D7lLJsw}`C-0PY%=`(%O_jJH;{Dd7L5;6!p&nG0R01LaIq8j4!<9j zubO8LVo<2|X3Jy!v4~ww6RI^0m)S&ZpVbnw%&7XPW>!P>3`CAe_oi$);+^YCjLD|ye%o3kJ8)0d#WFhWXPN7|prqfI z79K7m$c~J#A2y@dnSOEdu`J!$Oug6LKTN7ZXr;H4`2ZekOYXFn$8kF%HIE7==V~io z4V06a^u?mu*jlIx9QHhCD^)#;t&{kM>(ctI_)IsqY1fN8%+%bBn11%Ot8}P6rb(`^boZjI9R4A1HzW=jjP zCX^sA8=aPQ%L7bL*mZLH?m@?V=?VhSbaHy%EXafBt$ySkt#g+-wRHG8y zHf||jtKbT<#zrsY4Y8ku^=$gbBltYCp+uQ=VDVWdA)lOO!uw~?0k-GGC}kBYzZ|*q zm@Y_NxF&|N^Dg6g4matH>-^lOTrDRG$-Mdn$3f|VlAWi;1*a0-yorbT`%*L~nNz?I zf+{8FujiKiQYM%sH+j4s2ADmNjU>Z`p>$PERpxmtEDj77=1JUr1%-1}{K}&6Q$nx9`10 zHLELCZ`0I4@4>|FaNp)rnrZ&(rtu+niqOFQGa9c!srg!Q`01%1L#2xz3){{{K)?Y1 zAxE21a9_X*`x?u4e?E-LZ+c zn^D1J^w%qRpHz(GSyp=($>ve2_ucebLmL=xSc3(B5=6eSmg%t*aIN`a%I0Q;j^j(r zoZRAMP}J!BzA@H5&|;e>{uD{pFd! z98SkcWES_aA?s=EvPk*^lb143^1yT%g*}#W{(-6W zs_4PB8>!tMIqZ=Ns@BoesO#4sOc=kB`z^TT!P&AMi)aghDt9N-p1ngoNn@b?brwYW zJaxcviFXEvW5>DUW##Zo396F z7)#S>ibR*`I99A)K~JEGf&mV*Qjam`Yp0oxn$`A^Pe45HB8S&Zo^X`-;Xj;h%Ab7I zcU;+oZ~!Gbx8c*p`bI=eXGEb;(xgYAH82iy^>@fBEv>}Es0dH7J>Sg7+Oa4*R_{!Y zNrzG$K>Q{OH`2g{Te|<-^v_-4+974zMgC^g)taa zzabYqv1<&Uc{rM{yCP9dpYT%{zBkI%$wDnaW>H#L)63ZQhn;>=)GWocN9L-bk%FRu zaY{i?Op$Q!{=bjVXMh_CBLDr4H8JO%??a)STQhzkM%05}46X&zh*`qv$P{3ly5G0+7g zcykyC>=H2o2Dn6mfQVgi0sx2sV1NrGKp+HiaV@?g7qb8WAYh1piN^c?Uxh#r0DMt3 z5QqR?bO!`NFVz@dCD6q#!()((qJbdLKT72m*jADMIBSa5xw)Ce0!F|4uREkd~(Kd}8VG1m$6C SM}ZHI03#@Pc@?#kDEwWIABqb(9W<6Rrr#P{^2*bWIRdsJSMJ$q+?M z(iM4zap|Z)XsjJ8WvaU#&&YpbVlx^iu7Ryd|o8^uMs1OCn6IJ6?MZfAIy-#L$ zZ5|X-Le!T>dgf@C7puQCZsqe-JLgU_3iznXO_jZSK$q*_G{1W2lq7?=&nCKP^TII7 z>g~TyC?l>x^-UgM6wc2U(rm3#e;8AQn`D$cE`0yyzt7o%20Ahvbl()po6X9`*6mi6 zHU>-|PID~n+P84s=tV%4K^yK&zSDvn_`ZLc6 zQu(DA+UT^}a9fyvqVn{zT9k|UrRFc)aw5|>;!f8^)t2+FX|E@_PP56>3X{I=P~T)a zXeebCtYr;+9Zvfz|sE4j=$mpC0ViH1Xc{sk(#koJ>l z?$QgOHeFD;Aleaywfa0qK?0j zoKI6{ZPWP{0SmfnBu z=1Sz5%~j&0&5V`%++1ZL4||+k;h{5uhEi644cZSH%vd3*X;&~RurpW8U^^7F`e8B+ z23O^=tH-V$yL#w4wP5huPM_mm!wO)*;E5k5;9&2OyhidC$(yfNt-Z{2ZXRRR+M7%W zySwU7IPVO}J0u#3Lj6v@*2TcK8T%Nj7^ySi)vhY9#(f~AULyH`s{9vA$4EXR`5)|m zzX7M3I+;qMKkf;N;5Ekk?+J?HE-bqRD=N>t_KEc&6G7eJim~aNS4UjflY5V8sr8+1&(D`w#B^TnyU%`hTAM-zfZGwT0tN%KBTY83m&qL zKnmZR`r9DGgJ?vj&Ah?MqPEvu{kiIYxNwc%nx2KCjlB?%&JXWsv9(Ny9c>S&Rdczi zW8dbCc~rrG$B{g5)@#82%^r}m2JETzs1^g@HD zV(I`f2x;^XA2%$`S!$SFa%IU<%M6hwr$~wsafA>C1{2J#g`UNXMsA;+4D=O${Qci! z#iH=8Sf7v#2u63evfSs z^>RT72CQPXLUa=pPbF=iBi{#l$yLu8BuNuVpax8__vQkuWg&^v86LmESkN2-g~aHk zFnURhUf4+^uuMIK>L;+1h&H-^@fr)=!GUeSJto+<0#1RIOkgYvo-?0--%k(~H2oJ7 zL=r`2aqcdprz9z+K9DzJPGWFpfc8|grgBN;n#vtfw7R9l2{Pkyi!OG{TFMkoZ~((x z@q}^u)yQ%PzYwV$rFXfs=@~i>>j~@&GSU+zN?~%GJ_y|2k=FkZ4-cAu_6HING!QuO zDf$uFF9-X5GpHF45ez1J8jvyuvmI7_wk+!yv>B(s+2=(auNxyIQGBQC4MypP+aV(5 zH;4pZA|h#X115kPd!o02dzjMhq0u{ss|jTz&W8s$66hks(Bc^;eX}t$r5yDHOE0lB zq^AngQ+-!@DuW}xM745%A0Cn&$&hCOEVi@4?@3QFlEOD<`3A!`5C|7vJHOYNcK12I z2gJ2Q8yMcw-HaoQ^?b3pH7?lMSaa{Vc^EBJ@(C??5vMFwcMT2k15&R0h6ZOG+MHv8 zgwX-$=^p14fG?0AKg}t`?Ayv{%-8r3&^GJwBpwb4P+gbvm^$%)xzjgiixW!E3FVN2 z?Ky4Q+6-d$qntKKKp|6q$Z3QAX7r+tqjSNmWrnD41fvPvtnsQ25&$azO`zLtpa2!f z_X0uAScC9m`KE9AX}~(Zkvl#IZuqv`YT^kSEWKhV@9oS>F4-) z$x^p0x}iiv!6_^@anNG1Xv<9;r;1#BQG)-nZ(lQRT-^*f0nr5R5j=O*fxq`{$)DHd z8ehq%#k#mS#4Il`QeoBSnx5XSHZ0P6TNQ;-LmVd}*{Z33&xf|nPWBd+!)L>c57P|w zj3;i@6pI1Z;**{>TMv&qzWD*i5f1q~Jt$s}=(*=$DrdjfS-yENm4&FK@(1NpVMKrx zr!VyM#=%%p7M(+SchB393YOlaETyCpa-kKovppMOdiM5jitWL#D}8q znsw1t^I}DR*0Ok0@3_FB77D+YvbK~yV<;HTaMQtTuyNGRbQe%II(p+ z_Pq4gLyYG)U(CifCEn=a!oQWQ?cA`(gstuCTNmjaAH5GBWDdJ8@<(w)>1}3*mz@6- zAA}w=hB?RGh8a4U5LF44~$#;Eko$K&JoT(>G08!l~@O}~l_#*CcC9Dkd@Pk#t2x@EH|Z}-O+nq7a> znKco)>#}HXxeSD=Sj9iPpkI}IA~2HnUDwnbm`zfUAGZW74he5E)hcr`db8VU0mk?n ztqtD+-m|?K0RaUuG&eCIlL3Gyf8|))Zrer>eb-kIXdae;wch(BMG82H9Vc+>wpI%i zX&#t}u?167cj0XJVn9 zv@V!p@V?MG%4AXzm6O_rVlXUT3t#rNHeNhEA2q{KdtCNy^rC8qZ2YaXf3IdImlLec zrjz4oH7?%_UTyDbUuY$>%9?f|v*;Tmqffla-oeh%e%UuUi*Y@kOh2IGvjyR*AgXSO*~tViy=XD; zqQ3}LYni?0P~U=Q5_Q9le~;0zw>#*4=xKme6?%zc@JgEOi+AJR>o=+x!sM$$Nt;t~ zL9XM%`XmD^tcs>M>ixz!0kpEr!30i8kRgO3*d(Lv(E6e5`;f)EvY&!DAql5UUbJK% zlZ2DT1Sf^`DJS5(3C>9)i`Ss4(b0+bFbY~UtSVMBR^XXcqU&75e^s;#tRh~Jvcr^U zm&AzGlvT~@9ed7sG+A@`Oc-nFvRg;^QCBs31qUZ%0GMe0r)5>G;AEv&e&GSzhKPYB z>-i*n1W*ktj20kld2|X@V6u>?6ym2nyLh_Js4TWYX?-VF#)u!g+R0GfSmst@-FJBl z){?td6cDI})reKgf9jOgM^+kANnl!l(26nr4D4>f-{Lu=(rcOa1hO)e2gQNH2tAV# z4qb#InMnqi@Kg!W;-!(+0Xb$2Hyqon96RMe2e5$-02j=8guxh&DT{NGh$oy0ur^S8 zZ@CgjtlDcO5x$}%oHWiZJR}yK#xz<0;*KQKo0Gd?*e?6_Db;ePkQU{5t(urm~ zF9q($yoQ0Rvid?43_=*a#rz;+7e8^k- z8|CqfGh#U-=3izce3y*eyGOt#7chdhH)S2&1bHm8-j=cUyngkROB52i(2*#MXSEL(z1-DU1%`szSuw&XUgmGh= zxV0Q<_W#-q?oL?pT?Jc)-rlc6-wu6X=r^-P7lZUJ+=nfyO^p5u*EUESW8oaEzF_n_ zfEJ|rVD~v1aJFXDo&vXK4iA6SpQ`a$Q?nbgYt+E#e@mduA4kJ_cnj-wCQ7H$!?0eb zy-eO*R;RX<3CQ62Wd{`<@d>@+b)`MIHdM5yTO!gVs4cYW|ki-_YIeu~k zesV18$k4u*H8$rzM?7kIg#0_L-onX84%^Xnphn#ES{|L^w*GriqVK?xH53U!j#z`N zNRCOKf01lR*6d2WI?s@1HPWhHvv#^BIxRjN^ zV;P$FYvEKp>L5Dl_o9FFbxN@nNt7Qi%cC`=thY@}xvw`KSa&Gbo|R z4$(S9>j151Xzioe!Qo4^e%XMVfj$7c+iWB>f6lML%|L5O$-q)@ey11FFCrLlKz+EK z9wRfr&?C-AoR2skao)od5Q;<7O{uBKm`r$hQF&HEdp#^|gtLIyL-7*Di|a?T!9(K- z4{=M|p#M0dNY7=HmPy34p4Ia$w&y2x$3NJmn)3HO{0q2A zM>}RGlk;ZCcK7M68z5@|$|C8Ui?|96Z6H8EeQb3qI1VGOIRPmD0It)C{6 z?j8sp+%34fySux)6Wk#_nsjqR#2uBGPX4Yird;a(J|38 zasx!%1w9l%JXw;A-w%SbC+x%^8U}R)#ZD(NPZf;`=Ffq3R0=|gL(mT01(E+D z0Ro&HoPpk+|5W@hf@5L=7@He80Stkr<~DGDynl#+CjY`8^LH?J186aRtREAA@vp!C zKIwdHn6a&mmHQw1-y>#F|Dq}NRpIl$Oa8A?SlHGL;7P~E4xnRZV+1fUf3dRyI6fY{ z|JTodJMf?C|CTBknEyMwe|VI#F|h@3{i}$N?)|3_mw#^t)xXb%8t}gn^I zv;NwQY>Y-9A58zBkKk{U|9`vxmzV$7_5Z&ai91_a{jI0^7vcX$Z(wb1<^FHR$38nd zecXne?Z<`K{9md%@LvZle{5@I{C{;)P6i(rB4lG~_1`v{JBpjT0gV;Ros7)>wM74! z!iRG!a~q(7t)uy0FB||J6C>mQ(S6*Uk>$rr#qncSf2)8WC-uJ}#cYghjsLnm=8w4> zI5-%%!+nhY1F-=-nLdux80hx*ZUY$TZET%BOaLFnc>_#r9pL_&e<(W}fI;Xl(Z3Ko zfI;{V;s7v+{1yN=l>;AOujoY z|0MsR^Z+{i%ldz|-^kg);p3a(@AuQkY5WKO{WSyxx&e*gmKSV|cmgbH0@@y`gz#MH z_9yse$@kThsp&kI9on6rkf0N&D}R39bhsBv816w?+ZCg_6I>yB^*m{=gAQ(qQ*3?q zdeMtfn%-}Pe_NWu9GJ{I5vuJcfW@a%5j^mEwf9omw|sNnW2kN4cGQ7oFmUROrOUlfpVfFfT2OVbVHuIyPrkNoO~ye zj`P3wDSVhHjkV}mR1m(&x0t~Z z8a1>M&=)%|qlQD`qk!!YP)x^{<}2HYPS%y_YLw<+rDilK51^nVs)g|kBrlRMiK`$T zF49ZKH~;qdN=l#{s{v~KxxJgP>-1c;lg2AH3Y(iL;@5;V;XNPvPDi>A`^zH~L#e^E zge+u&e+kG2>5BXp%$afJY;Q58VaJHNJWs9u_iXxt-*gt>7QtQxPS)BR+5JzUfVk z;|u)s+v7aX;I=*DZdAo}&J&H()Ur;|Wf(H3pItlnw!oSMQ*U+4IutvPx$h*)>q9}L zo*G!@Dr>-HilzY5ZEv=%=$kr`h1q4{QZY>(c%kE1ZLdV~I#oFsNcs{DJntfCOn7{S zf7F!urXYD4;~<{K`&&#pr{+182XAYdUf$=V*jH_~${t2m`m^3NjKyr-4xH25R~MP( z9HMrhwlhqM?4_c8B(GYml+Z#T?(rj+K^wH*1|`L@xM(An1gTnU{-dCa<&R;fCVDY^ zFOSkr%^dSIo(%bFgfB8g44h9mB$qJLe<;P0LMt2{3j+Kkr5U;DSWc&j!>&9u)B7P- zZ1A1%;^K(H>U&R2fy#u$Z~b6-%ry0e%Ds2rMS>d0a7`)0$Q%6 zV7NPDMz9o`zw`@nri(K>HmVRDjU|EN1xb{cO*D=Nhln#%ek#UXbHWB&d0{Bue*;&v zBF7A3$P!I!m|9;$|qpe6jv!9j{^q7Jje?)mc-l5VwZk(Sb;Y`D$(<&?OAu$)U<~)~CP!`#g z<7n&G=qQE-_;Vj3?W{NVuv_8SFdA|NS?jc2UeTT~tQ6&Ie&nAe+jp#mcIHm z*rJIy-&f|JM-1rl72qNU(xmMi$`PY-af%R zqZ^TTA^T8a5U;>VyDT~)A$unea@jAq9!za{?q;zEp;b&cPQ;c6N}IBF{dLP?VY2b_a~Xd=_GE9J-^LJ!Am!twFFnZw-1*|7X0CcjiqA5Xkcjp z7M%w=2mLPe-A-=JFrSKHFJdjly1!cGR2Fy}2h-C5rQv_o4&I4GehB`b23yma(#RUJe=?l|A8EIY)%i-j0O7EF2gyl!(ZavE#UYuQ)v6EL7YW_=jk2$S z8r1==Wv5{#vNlsaxWg&yVB{6T$@;mUlU#$P~2e}82-BfAe}`4#Wo`^c1M zqBEujxhzgFuRWZ9L9)`fto!8o@uGOu+Jm>`ym5tIH?Il(~ma|bq1F+ zpx=2f##O0I_wSY-H>mRTzc43-HNWOzuX-4=Kr-&akKWN?tEg3ZwpGGD=t*8ghv^1u zbpX+=ga>za5R)PaURcBBuL1#kT~8sH&HVhGe89{?e-_2KDY{fjM)&E>vtsPbNYXoL z7$QDpB#8_rS-1XdAp&w`hhQtEa!KbxOa1bRS8 zxkV@Fk~2fhoP zr`Z$~e>tyN$kZ5P=?CqvB}z!867IgpjjYC0D4X(8yZB9>B$!r@+CKm~mO~YsYH%qJ zpcj`y7kqq^4-R(#wLRT$_cZ&~Zg*6`-(~pMeA)veRm6{PS-rvy8HSv`Q_a&Fhzlul z#Z~Qf;pJ#cg*#~GaCVVf$zjxk4j07;Sw4H~e@r*2@I8xBsnEPU-;8N1b!XZ0_f67i z6}s#uio7l>EZdYgTR7@(3Hi<8L!d$$s(}FA&VbsV>GCr<_=2rfW@v}$`juM3;=vso zw6f%L)~fhF+YZs|gn_o3Wcefj5M)G zZHFOsCWP+U=~&zN{3&!0_uYliWoqHEe;=3H9fB*Sen;Vx(gM~1F?;*v_<&nZR^5f^ zksajDY0=CEe1jJ*4usvjrmiW^^5McXv{FstIz4?lhZU>kD4L5_d(iJ`*cp5H%PmVk zvYikhWJN$tXW+PP45eX1o@874?4xkw2OWM{u<7=3ftScDsntp&|0?GIe%iW@f3~S1 zKi!_JLjO9D;5_8C@GX&W6(R(Sb)YG1m)D*|-AP!RCtIlK0aE8BHy4ty<_^yUd)U?8 z-AfW9+w(X`)d!Q;s&mZXP40>SG8qA+!x#13adokIX$x6zx~=bdVxC`oBCIdzhfAzt z@UR7P<{K(5SnN|pI{PQ`3?pA~f6}}`pZaL{q#vVS4j>W1fnYPCF~O7u%gRit*OS0t zz(J2VyfdPst~m+z(Q%-Aooh60e7(O9tjJ}4tj~_pK&*ZnE#9jIiT~&2p)wiE2t-9T ztk9n$QvM|tqc37XSB5Io=g5`FStJobwfyz2N9!)nwcB1~SoEyNaWsOne~tpHaOMvo zlXf6=hW$I*4zLkiNJ6E(TbjI&E}u(ApekiW_F5ArJ{J+Ja)L!+B;r51hta!<%q$ON zQhe`JElTiS)|T4G4RIh!A9)(Vk~YOdNblO_K3EkMz{V{e0mMR6rCsBqcGD-G60k*R zC?V)GtUk3Dc|vpPS8#59e?pE5+v!^oM-!!x{XXWUH~&UIT$UB820eF0%uKtAr_@%f$V?Q;)S<3+D=k*uzDC7KYJR3;EaD_f0KaCi&Bo8M`KNCid zkqcWNHPCTPAz)@Gr!rr>2GLygi^UJx+7t40hRnB4G8~{rwy+;-6(Pu?ES#g*Hg)4Q zsvumi!4zkUhsM|NfAh7WTrF{4HvAxq&d4<052GMuB$~E;h<9?e;$0bow5LVleyPu*rSsd zh!)ImOhevBJPtX&$DcDCSdPO}HubpQr%)QEOI(>*H&7M>yNnqO&qaN-s4E|#O<4=G zSq@*$dMBWmU+tCoZ=1;L6f*a!?EoSj}eYB9(%l9rZ+D z@#nSpJkZoka?p5*UaS@jiw;@}kaJ8`iV5Yi5=P|X6uj`#WaaX4(+=(3rk>{?DJSdG z5TDIB>4PzdhYRZjV%}N3AwTzz4c}%bUl@ywEoAfDpAbs)byVg0<_+{~k_y$uVrLiE zUd*5Xf9=JZ`H{gom1Ij`zrXp)ED4f%EsLs`r-aSkGAPK`Lg`K=u+T8d-0k@t^}VhQ zG*Wxdf>%f%$(fSOkg+{+Ug>q1mWntsi_~+_%A{u^&u0k0Otel+QfD0`gs^TPJRz+y zk%IQvwv;9|H)4H~BGNEQSNOf;6WYiG$UTBFf4Kg>r<>o;`ub^@onSVe+JfLq!HU5r zK%W7kuC4oPgl<;p3V-K%&);x@|;dyUe}!>K1+f43Gmf73&Ao4FMP{;%x|o@ z;%}!xj@~pHuf0n$1r~)VH%4|k-+WRydAkI6qq~z?8gea~_nFg!zmq3`a&gE{T#|YC ze?;r{bpHV3x0dadmEYz1?H+nk+l>=+3IhT#SfqxUBvO5$7Nr*$UjSL)YD=#)VO6?k zF1d)D)@W`XLxgc9B}CR!^1y@99CN0&-`?^BJfYts-NCS!?JA#cru|a*w1p}<(jV&v zMa>B_d)fyRN4}8MvmdRf!#ii&H)b*wf9A7blE!EMv%L{Ry05fMlvs1MV_rrSZ+OP2 z`}Z-`K5vc&_FyzNeflBMl;lw}B8wzu$RJ2yv2@g354ZxyLu>-+C}EV+=O!c7?NS02 zm2FU}3~Hi=7cm)K<5lvyE|Tjv872B#U>QAL)uFV z7dKnH+6;ZCzN>W_IiJb}*kf%cf6sfjCG_4le6wB_tS{pT?yFl*<*kURW|z|wFO;6= zMCaQwbm)RXfA1;Wm{3!my1cOdm@MrUlUDmSp~cl{ozDXna_SI`gDw)3eZf@Ek!$482~PKKC8<7&dS9St5tR`K^fn&-Q}VMrjVVL?MPJbhN7=D50D;#WL(5_)-l*?Y z-9;uJ7H4R++)YDcfD}y1HjpC>KCCn!ga1(;$ zbjVSMBB^q9N9U*I%~_9fo$Q7C>4x5$#lvW_(qaSe#V+7_ta%>Be}SQ~v>PkU6uNuO zonUuECYSb8bdN0to3bP#sZ1s^mKFuVVj1?qtx-Qh77pY!4{w@rGZ6|zZ_Vb;fY37K z(Pw;4L3ejW!W2Bx5e8~a~a}@a!2Q|saWH%+GRcmY>{dWfg7tf z|AaBMZ|cVG>#JlteF=RRpGt5;6Y8y+ z47VLG@v7cDK~K1)JnQr+0Lpf6^K%F_pgGAg6<;?@3&Huhihoay15nl+#!ZO*xpNmk zxo+7Y5v}kbHzxp&1cjbtU{eK5BYPm^W9);DlOR|@YB*7-fBT|T^2cHOjX=84){Byr zl$$4IM#i~MELn4JFxHe|61|ssSa=gxk4NfxkCOn(s=8nkVNterw6omLiTl`l>8VDxnAvls(^R2qFQ=(xU0iL^)*#M+Hyu!oYtf7#rJj&v6=!o0E zPZ7b^x9~VQy9r*cs0hYMfudL7AP<kem?0K-9< zVQmrzPf=$(!qmTA%pG`O{x%a>jJoekP=jdQy$+P0^aagK0TX260SF5}pME;`)}+dw z!@^TQXXygvHwoQ?(J#5Rc71jSUn;BF>ePwzf0kv0vA5lpUOXEFq}(oFY~ir(t*eO$ z3;Pwz{(5#BXL8glF2kYHW**tq-0RS!pIw8K5cs{mgXeb)#7z&cb8cwhJVHcf*|JM~ z;Y1a+r;QuvpmT_CPK%Xq>Zc;(u`E?%q+<+{?^C@0ORJ7WeaUBdg ze-~jsPw_>I&%^g^Bwrz$Mn*){KGre|B;J3QbP_}0mt}JmJR-Fm?A6(`1ilhs)u6f* zA`ywwSB}d<^|#iw8IalIg@LM*(2JB^#?OKiweu?L@+yM@w^-qMtLJ_OtQ(H%>rE+sroKuK|DTZR5iYJn2`%oxO z^gSl*zi>|%vU^oA#x__=aQF;zbz8pqpkRya>b&0U75Ne|&tQ?*z<`Pr?dpZ>ic51& zzxKY44KsV*b{1$Ep`bGPhkqJ`H38Ka4s8ZWK+D?}W&S_woG&l!RpDPojJfB)GP zvVHg^w^|;ayUB3ACBVO49EW8sqaZ_%iZg?oTi?xHO_K~SGv*lzV*Q7y_*}!UwY2Y7 zhLVa}&uE?GMFrLD_W*o`qcibM7%H_WXE05Lky1~$TmAEOZCvW;u(hPtFVJWf0ME*80T6u zLn}yjU678?4pLz@YMzLc{MMme@>c4Me)#@5G4(sA=1WQAxm!_|d(dqhrGLNCH!aKW zHa$H=n2*qL?Wnl{j$gYRCvYpM#{tK>0^L;T0@{#j5j7n?3%TZ*$37!pT8dx0L54z*~`7}5~r!nwH+(l?x@ldMp zO~nwk-@G>EC`Q(g0>W>UJ1Q3yj|PfI@g6NUL*07@9bzg)PZ`Yff6|dtHH}JSiO4*F z;>(2&ojvH4_YyHlDqs%fJ2=Y_#Q4$~$nxwoG0&GfJzLJ@8eht_v~YFAPzz_qr7iP~ zcwON*s*6AScqU_?tn?*783~Tq%LXc(GvD{6ZM4Iv^|?Ghp-d-azjBIA;|*t&$A9sP zl>~hPM{ zs)>-%qU3;N8k-%h3Zu}>nJkuoNRF#}-8wAGlVOf+^}yGDN{t6Vz%Ea1fUIa71H&xn zmy|K`ok^IItE)dudOfI9Wd}5h>Wh<2+mo83+?uc=dnwYu_wQOi&t6AMqwpV1(GuLg zwkf?5=HD!rf47;s7D&D}r*PUDnDrq~n+4{FUcG-=kxnDqP*A$XjTAF#H3|)IWJDN= zhO#K(84V*GGSh)IAEZSu(+y9W$MUERj`zTDOk#NiO;S!G{a#aKH#H12a+h2Kjj0l!w_V5cYnTK}m zW3R`FSjtY@pXm1Mc#VuX;l+9DIHRL?>#IGVc&5U9O5+Z}W*_0WsNhQQv60xzu-V41LO(H- zn;7OO>VYoNVtuCw#JUP}Crd=0v;dJI`}8#u!w$#xT>9CPd%>-#VIGWZgKv5|>UDw` zq_tzc+&VCA5yy`S8LU~oU&pn2X?4Z2!4kSwf5~xtLP60&{VE15fa^3;%a4q}G!`0W z+4<9`)fJlX(ldz)k#$+X&SJ&c>I3inlUEvZHm)5ylQx%P4mP4@9o%wZEytr(t|Xqm zlHBh`0^tAxo6?e9uC_zRO+U-+34(4#;M6eA*$)~u0kiI&OB`Fq%6xVA0$=*isQL>+ ze=8Hu+Ae-{>+c1y$_Lo*Dy9RKck5nw7}V}dh?STF=C#ZA-MxC718L$%dzZhGpZ2-i zD81cboGna&I19;rj&`jbfeUPTd&pXQh(VOvASv(qvePbi!+CtkTK7E1nc%$9?q( zvMut2GpfZO^SUN__TS`M#7sMqGgR-u^L$1Z=1dx{>YDo%k*EJ0RI>}E(e&G|f0=vf z(HD)UBDd;k#}lx<$rG5vT7oi7+tsV(pTYvh)`GXmhUp7A6swOGE8He``<<>m)u9;ja5VzVA^e zg#ZIy|8tbH(X%teLbDn$@~!gf*llXz70pS&vM0$f&68)Qjrir(XL=cI)0dc*piSgF zQqf9b#6-4ICbMbisrVZnLz;PoXOXEW*nkiZE8Qzg>Hpa_k{H;OSlDNf5gq6%j75PF+qzs zX0QU%aPN&&M7Yv=ihK)&02T-VYzRT<7oV3d9RA%iVFvKurVRS`b9iXtf#4_$s-DBq<%}J>td;; znvNKB3KA=xQFZS0fBsoPtGReIcf>fJX7yvJ!^9f|c@#;%8#KO>|FRe+<{mQQm5zq(>D{7YCoe zzN^+jo*<1$`BPeLk5{5h+AP1@`|h|7+P4l#GeXA~Y{deK#tj+L5Zw2SG*KpNGj%v1A{L-B3dW4ue~k<`)S_SAmM$Jw!- z755-^X@9Zzf4yCZUFWvR)o;#Ie~_B@#xSq+1VuT7hB?`vC&l+EY$!Tu&jJnV`1BJ< z>0MNHkqJ&9dPu=3rYKT{Z(b@V3?>^1h&tL-#BN2-6zNTLz2npz;onJNR;&Sc_mUo6zIV|K0D1x3bvWS$z zR=|2;bueDaYRmOT0%aX1%9fU#3{7Yw3Q3OqymJ(w9h`ra)@K4fU8d~y+_i|!HDXgX zzWWCXe==m#2A?Ds`Onl6dvE%qGZyiQNe_*eopqnlfw9IUtk|pz#19m94VCfwL1@`j z;T0w`OB~u}S^UgSj;$)CEZr(Hdp+w(-lQM2TfhDa*&?UQ5>(&z+Es>^D4Njo9;?=8 z4SE*J@hdOONlgFKrC^O4BV%;0e|sA{A<6D&f42^Lqok0dl@SH467&1CsD>( zPHE(?^?-7@Ox1+2(_m0ne)&-F>%ocFFu|1LHLh6#z^7?~d?3yf?GEcHU+%L0{@}z! zUl^)=?nCh+#OsUNJ7i|?m0y%vOO{s-Sh#6mv6OvrFj}nh+VmBER%ei+!yeSi>XHV` ze-8LEr@+xA{+D&1&YgRs()aAl6$+8%)X(w!&~-tFj>;sTEw?7;dT=9sGpkNU``o!h zkY@Db8h*bXtXwfc&fVdKcXOMJhjW?>U=>x{ef;u|^4ti-5IQz~+%CUm=g-kC89nW^ z2;3Z`naH92DRC(N@eAHS)AI-FRXFRqe--Lo&8K+1ik-}>VSBhZ18IBWi-gmyv-r_k z{3^CV2%cMBq3TK+T-WPn3jpo}NCG3H+D zwNQlKY-$|$8N;pJH!O;TD?|AYJw9@4kQBo53MlmBr>wSb$Y4e0Uu*Xk?f=(+cEH#=R%O)>kpOUk}2EX0^QX z3)bBvU_f~zZ!*NoBrW?=Z~mr|KtX^i*tjge&OkUeN}URfClQL%q@h;`f24UOa?Dt9 ze>oqvlQ-Izq>#Pzn@4dOXWf9OGMrI6H`3fDUa{IM_cFA34E}ukD%_kNGT49ND~jn2 zZWs?kA_oly9mM<6Px}Z4BGw0Pdi*1&6V3~dA|oXVJ3lWso;VRTdBji2dIrN|<{zWoROdVupcxXv(OW2W||DA=qmej7Jd6JMD~B@Jfc4fAX50`Y+RyQ7|W~ z5Lt+kz0np~cjT*~n)QZB#2dpk5@oMn{6NsF5L87uCq2^^ZSRLr*6 ziyf6R&FY4KHQN*@e_a}pkvlHCr2Rx?=aa*WOK1`fh=M#9`IHQS%$q-l41Y$s&(^Q8ZCPH!=z91B!5+Y8;wu8Xr}4( zw<8fl?8C^jFFujy&o;>MG{tM6MqZG}#3jLzR9>t<%R~9Je}1jowh?*qo}kNRa%n(c za5sZWL#44tKUpW1**wjN2!exZx4NFPHiu2aQbb#jEy2YHKwQ-OLf!f`?~Pex&?V-e z%7SvT90Q$9aOM+s@*7-Ql2ZbcT*(O%i*?Eq0|8ca2SCp7S|4UbkVnSP*MrZ+c_n&6 z%Ba#D4EYsNe^FJRtg6$#n*{8vZVmdSzI!WKaL6U&>ynUZkJq%Xbj5{6^6CdYgjV>1vpsFJ)HhJ?zpZ(;kC6K!eh`+8 z@88>c*NhoM$!V`4z>ppU9#k}#*B>9})fP-6w;|ZEfBy`{otn1=;9X-(ELn~b+U}Y- zf1-A%?iEBGPwApEX-OUDBIbeEMjc9l@(-ITii<^3sSJ#7GuOyoIpaBiO}gSIw^?{#H<;v11O zR0ocAdfKRvx26wtE)!xEZ(wTLAemR+=UU!K;x>!ee~|~<4#*yy-OsEfVALigKiAK)s6UJR zfcYVVBrQQ9`94zLzZqc(g@t<^!gY5EvWA9xjZt4;#xLiVrz7lJfJ_it>hD8jSpkXe zQZi{xD-xD(IL%4>KIQCo%30^pYg>42wU#`-wC4BU*y` z%+Xj}PFp;33y4sC30~6l7CRJlPf3~0Schj;3G%g|AL`4aWmbE>FT|h4`Y~8@D{dfG zA^j+ZjCg}Aki(oHm72<3qK?QRhi=S^e+@>BBW`f4474FRFwVYf#Iw58qF)a?@|&P5 z4fia6zH{rxZFMKtiSow_Y{kdfd^3YRDfZ}ZpB<$z5wu-KZ1-v5HH;dOH^ST{hIc(F z#%p>Szq)SKtu%MyNBc#Rxn<)sM!H8JW#M)=>9iyP?6?_|1<;XQ1L4|<1S?Qve-2fD zc1}eI$8~rwR3=Bo>1CmcUI_%Qb)GIZDth4rp@JFeY|4X`8OB#e+$he~)5GreMX1f+ zOuM2LcDdzwe3Ns19(=RuWD9+oxU~K9%x0P)5Uz7Qm%96#oYjiA6H>n=w?n#Uj*&v@ zp|}Qhq-*@;me2-0VAnUZ-swT3fB42F(6r5ZqG~xf2r-%jfA>S>k{K{E zv+GTcsg2DFe4}N3TF%K1PZH(dKx7X?If*DU7UoaD$M$57=DS#rNA;M!+cZLfa5m-oXPi7U9VA#CUe7VQ-hT%fLg7JlUwERXF{GFHi&W(bo!lT86F=knIzl-m zGI+SL=3)7yvf3Ca@@`F*u5`>To&tpn+Jwd?6vxE~jM|hUQ63UWOts>sGQZ8VKOOoz z9zbPbU_hSvYl;sO%RopjIjdOMZ2?w&dpI^YiM@=8MaXpP1U6sn^ywuvlBL%$P}+5L*CE9lDkZ=h`Z*s z=R9)P!MT4?lP4zYXOlU#@lai|@2@0TaV2V8kE)^ky0%|0826DD${ji((w&A}yH`6| zzxr)mIg_=x432R{;rs&Wrm@& z3nDvT-QR>0Bkc>Mu05?8z$ojfE4X4BI~JEMns}7aKjL`tUTAuo?|&Otq#6jVYENpfZO z6|LPaW+~%IibHm}ETDd>*-I2?Q~0o}CYkQ66#waBM69H5cRYdlinQ4p#sT1u!YN zrTp+$n@r??qg^&TPF)x*-YZd!^*$(jNtVsVa2nCl|3qIRhJR$%@R`*sJq5{z5o`0- z&Lc=f$&QZ6LryT}XnAqYTHQO&D^nGzgU3-7tmrpJPBrtqE`kbqSgY5yK%@g#JeUNEvo?StRlofQ%j z^VxjJBgp$ev47h&*}w05v1z3km%_;y`NgYkg>)Llc|&Zz z!&CIbN3CzzU5|6_yz7=P7oOmIstj%SNI`xSekh1zI&Wj?ZOMm%B-m+XoMOG!Kw8cuFF>BpW^eO{<`{c9&VCy&EMgg%pny{e`Um zu(gQA6Mr)2jKHGbS$$FA;+4gA@f)7ImI{NFgA5R1T?ls8R2EvX1}zT57kO{SERP)K;sQ2Ap*JF9*3;tQ;aVJd% zSDe&Fs2Qt3e{pX}V4{>OSd818v2#&?Tf?SWnScB<%@>DcS)`bsg`?IO5qr9$UeWn~Weq zydDezDb3$V(Oe3?qioxR*V_~H=<+twyJwUcTa>DKeEII%zMDpC2>1A#%3g>~t8kH$ zSAQRbi1g*fSd8F^ryCD~Mwigz_Y+t~oF@}jr&%x6O_Q0^C2;o`vEXIqSo*@&M@skh zqQXwd($kO{WmPp(g&g??VHK%J&}Ftgd4(f75j0o$qU1WB-uNWnRQSh**(&1o^?OOa zG4qOiNK!*?FRvNh(HSpS-arx8Rm8m+Rewai;}6>@Y(jD#5eEMQS`COEvJT5o7q-Ma zs3GAMEs&&7*Wxz(*4U#xp$cfj?tc`v z40ErhtywLR``>d-WMG%`C?tDav%L=Nn;kc)FArb37{_U2orzEj2Y59DA0{y2_VjJi z*a_>?R!+Nu+u29`;*$9!D26P|wN8A`GOVd;9JR|crlSNlU`rMV!pV5{h`x$-i#qRf zRhOssR-T&iK|UBu+R1wjOTcVw*?-~&T3gxir8J7me3hL2c?>ZbYs7^X0Pik99^%x) z_k}&PKVqyF#q23jbJL(J&aZN0jPF5q#TEW2Vyld(E92DK6H9RvIEu?IcWSOMir_um zN0%mBBJHOk1imPy+mm6vxxO(|Eh3+^|Jjw@M>iFFh2FiLs+>wV_|0l>dL`We0699w=l->Dk+@o-`f(vcNC=w+?;o zrvtI;jf^-3OUc>wusgkAhkqMT-2=ErGquayBR}o@BuREtuIU^ABv7LuFjHb~ie&z||a@aVs{(q%d2axvnF|PWcCfi>R$s^1-SXqJ&Osr~tFRjRkz$Hx>;QT+uF?>8BZ73g z=SHTQcJ(=Jbn-2YvYvU1u^@Sy#Rdcsm1<=WaRHgn3)6kZVLUCKkGmFPvsB#Pl+p-1 zzCdgKkeghcuCt$KiZ)BBw?uJSG*=w(FmJdw8jwEP5Tl zwPPeXgv5K{*_;hK$S$#&m!e6{MQXgK)bh!s6&sqk1RGFGukdhmqpcB$`SZ!q;j>vI^NA!SxXsW zaD7IT-=^E6T6Br~Zun|q4YhgEq0nQ5{CI|$bMK^z$#@#3|K3?)N%sw=eSKq#E8T zZZ>~l&ibvXLh1`^5LIVU2W7-fiL;f8x5)s7g0!A+gtpKZ9T<>x3`8 zf+e3I;X5A2xvKFs_6xy>c1vJKb!_MfbcO1!RXUUI_87FcqTy)XaGL{_d^*x)g7@XB zH!*}XChD+7D@j+^HPKQ8@0R{bEv2SdH3JNQpJuXQb$i<>h&tA%IXh5G#UjM4l z89BC92!BbJxMGPr*Y`Q0XGEa}%KqAJEjmPfg5MdZ-?yNH?vS)Vy6waOvT5iSBuz$$ zRKTh8EpZ0hbAqxfwRTEa|5j$cnuOxs!%l)6%`9x0P%?qb{y0h&S4dQb<_vfpdZ_G1 zvfn2=i;Tpp>O(?tbS~j<2lh>kuCg`>-VH&erhnqmZ_emikyB&sH0F(^AgE$U+1i&0 zm{^)e`Zg#(&|#>(;X9T;>HrHf_p{?Xo9Vorg^@=k9#puqu9yl-U+pRkaZ6%u%IFl(iJc7I0h zUYgm&C#_a|Xa_0d6df$$y>v9BZ5_@D_2nBVX33QCuOMsdrn)(4QF}RvnJ@N^FtM8# z?7@vCgc8AXTeKIp{{H1caVnLT+O<_>Uw^2!kXSH?l^yd%H~Yjp_GeopZmF9Dm$2ZpdpdFT8pa8m@Va{9*`f)(^EN z+DOmbU3Z?LI|90RwfDPUmVd1fczWxyF_sMh&RJ#y=l)x02rwDjy}Pd42XNVLRs!*Z zM5Au$MlIEWt3kZ-d4T6{q*nn{SV}F5s(2`PB9+H&GM}Auy{rx_`>T!Afn*)idD_7QM;!P+)qNaQP+8QG`R)6Y7SWRXmTK18c z@4}#hp=iu%Y?sndliHC?#OR2zDwb>|`5fp&P%Mz($y~hfb11e)PTTQUh1e*X3n19}I*YNje?aQ!#Shco6%#afJpFJO=DXG?5bQacnLmQg0@y>6X zv$9DIp_jSR>@A6L@+)EexR4l@7z+=TH=(DN2m-omV9q|%20LS#S=u;YG>{{ z^W~G;mAB6&kAKAFjO?a#sibHj#oo8jUTZ!*DD1jurnl`G&4P`_5O|DL|4$4P9b7z+ z!J#^RWVrP71NU(%3or!ljhACinNPD)C;lFlBVPWw!jB+1;Hu<vEnKb5F#UlFF>EX^rZj~@%$mwbJO;eThpSvBk_?N!7JN8;B@&E;}I zV;4K~k%biLWr*3gkhkO2cf`uW^%a1AQQctNN7DVykC*KLS<-_2G!;G9s``s+GbxPx zHlP4v11>S`_M~%eGSD8KO#n!X`_8B>5Gc8+HOoo@UHAB^`BrSvh?U$S;dGIeOGbL) zs`slJ)qg}bmHy_Z;MTzcgorVXW}FV^0@zJaUG=j~KvPyOI&b*i%!!bPm#06W3>if!u2Ccm$DqK!4lQOU!KR15|Yiueh{l`~{XB$f)RMDT-`(<)jcE z-ULaD{>8>u>0{;2JcC#AjE$z>b{@H)dF1z3c_=7>AG}L>ttO!qE?e{m8tDDVRZOU>2ThS{wpHxBH z8(*@R5h1=Q8}o=dp0FQbuh9O8^4vq>tAH*`?t7Z{b6^|A;nQpZJ)>7Z3lg9VA7VM7 zsOCUaX1k|Ub-|>29MZO*6ARV!AF4nilz%?A1ABD;H4S;OF+dB2o2ipI%bkL0Il%0i zr{uCBt^5ee%|a|6GC)P+{UyW5bvSOLe{QE_zk~FaDAdH~hPVQ2^AHLl$0=ySwPdlB zo_$aLtRjZ9m$LAO1{mpI$QAHlTIhS&p5h(NEkc90l#wiMx3Sif@5ac5%F#rSzkfAW z`k#kRG3+-CCa2uV*K3qQgitcu2GRQ!B(`2{G>!5GVThqUlDX)hr@+mPo<;XW&mI&T zoJgo;n!SU2paD>4PJ!G_*`(1CKiEgg7TKK&ywr*&)6A!d5pJaW$`7mD7jpargbxFI zK}Pbr0dovB6mw+z*eXxotp0a#Lw|M-hQtj8J+uyPKiis>t5BR#YnS&aw&BLvs@Zw4 zzNu|qboy=#Cj`G&hAw>0VMWVkMEyT|1V>pRQWd3R*s>>qGxro&kQ!$E3o6#hLmoH9 ziYUzw%64vGsM-+oC?7dx&*pZ#QPa-!Xs#f`(E}=_Is@<;I8V@V4L>!!U(5L#)dShZr}*+ z0rP%q&WxldvQ3Uj*2z#vm7u?sGUNc_aj{4YXY#NfE`{C{qk0sv&-3C(;oU-8Xf95=y({A+G53ErcDu$ zH~|#IR=b`g%v+uESx6iAr*0H_5Y>yGEJlWOlh3CRvuMJM$0N0PtD6|3&{ea!+Y7G( zVHy6cZX~R3(1zQ*!7z{yt#0(}&BVa(@d z7!?DHEGN8p^~P3d3|$B>jA$w2j8oQYoOb1Nq)e}6ZAdZTRdq|04y9fccllR%p%Gd> z96H%Xdj$IUlA`sG>VL8l*n(zFn*?d=sn>@}Av|7kNa?5YHv){$dm_wJFtj_^z3|CG z7jJipfM2^Cy83$j1kKn`*SLWgC>=`IHwgD;`yoUVc9YS5I0= zSx}Rseu@P_FG4RvlVQIZlShA#5-~9{3NK7$ZfA68G9WQDIWskr0Xh`~HZeIilL3Gz zfAs}WT-(+K3PW&rx5nMwgS$g;r*UZ9U4u(-m*5uMJy>ve53a#AJkGh2bHD$udat@_ z_a4*7Tyw5XNvf>IC}IXO0ZM}GT^L!JSoi>93Zh!9YycJ(b|w}UHh4-(bt@NJ;D6-s zl%If3&Q>6MzJFMVIRTAb-euy(F7Jj4e;|8+tg9`6l^wv!#mCCc$HD?&V`1U_k0Hp3 z44Rd3m`R{&ok5*a4laOpWaU z3dSy$K)d&hrpC4aHIS(l(8cqALeL0Uy0|#-F*CcnyE7TvIWvKrEQDwo0Pa>Uf0h7M zpfk|P4QK}Vi!wmb*bew_YE1By0Ch_%=YJ{GK;|y)#!f)MyTI1U6lm}K?&4~126O_v zX9uXs$ODudfcF0~mj9Ol1K{7y0kAT${x{sez5fbiW&gLcv8gG@&cWE;)5_igU~Xj# z1Sm<$Gr4%UFaV70&Hgepwsi)*fBPG|8C%&Jo4gzRUAi$qQbYw{{Lb*-{G3gltQ=gN znVhX`|KiB}SD5!UOW2!D@RwLjQGE8-bL_#WEMad04ECz3pW=p0O$w+dYD==|CK=9f71c@x03ZQ z@jC}!ZwHVA!2F#C(AUZw`2Gj)?QHA@1h_c40)4&zsrX+6&&mogvodu7m;f!T?BV}J ze-{JI|ApVr-^t1Ypv&?;eyjkNzkdIH(|?~ZGmyQl=O6RGkC<6jTuM|zoBrRF|Em-g z1$h9x89CVjjBK2&09ICZe_jALCkw#$f8+eO1OH+Fw^Z5K>fhC|{D~-IZw>hW|Jz0@XGtp$pqa9ji>c+mhUh=1@E+XO${wf;a<=;G!T~U{vatLg-TTg&+Pq&X z&hKaSw+i^aQvaJ$!rl~Q_Sf#QadH8Sot%t4;aT1XjE$2M;LZBJq-H>mzh@i3%w!L8 zd3OQ4lk)|bgPh?1e>zbvP5`sWU!s2@E&#LWAH)q{7W;#E0LH=@{zL391at%bNyzzb?)-km{c(7Y`KNL3R|2z(r4#TU&3&)e#U1ny zhj+HF|A6mo-TndJ8Myy}Z13?r|AzmwC#J4WPVe84zi+blCHfEk`-24pe|i8-;g=Uc zru-q+bs-%O-$V%98TTdxXDRnI(`XsJmz_FYpO9gaX=}2AHl6N7l1KVb*LEdnZa=P& zyn3IsHo%0peNkzD_IWW(P@UduhhLh)9-RDoB2qs<1V_lI{&C;u)zRlupbbPTc(*KN zo}()dvT^~&gZp#JXz>+!2e3R^XTry}EMj}`` z^h*zvx!b#0^xVle64?ZLc;C|)_9AbcEwI@WYfv zt|h#J!2w>s8R~|_H~)_bOKc|jF1o$m#vVv#T_3m~e)&#LO4-Ba=-#Mnp9!f?ff0_7 z8~aGS-CE7IyS?aPqx<{a#Zd)lAKMg;G1sJ$w0QK3A*rDr2=>mD5Q?NrqtN@ zYf3}`HGWID#ZAe*G7;ZI!*toqXM`iO8d0-EpJ|!$sq;{2e*^c=3MkbTlR$ED@e9`M z3UP0%_`a3L~dvjY1(#xHNc`ia|qIIVp%c!Q`1j%{tb- zca{Ysjr3_6zujxze(l1)G*HC4cXC-S{dod*y-O-L7nv5WH0Ud!zf3BqrPPx^&jC$}{daoBWsm^>B zaM~V!C+*5Fk$j6am?NeI;Mk%_W%=APpXLK!p%(V?G~Ze?RrQe?vv|Kl>F8t<+4-2_ zfI`A+;{!*6Wc2L96v4v$?9-Eu_s$US^6*&n@LtX35i^}2mlhzCI|7xyzxlzgtdF0? zW>)o}e}F^qgNM-kpkCQ02~6!ZuMI|eVJ!r>C*3+WUY(b_d{eY7e-kXz@l2OK`MT_a-` z-R5r37Dq8A#d6XoaUI5*l-}y}In5Qh6oR^Ihhb=%!PQ=bG_NS(#!jq+7p=Ju9&NG#cY{s+Nzt<}1Yg ze_8)^_-U)EYus<^w`m^wqJj}z=~e^=sX4Eqkn(YjFRbL(vb!oFsHOchjO3;?m_1mI zFC9cYUce6;u=KLHXHbuLHfVT=0zwSZe$@sQ!{8c1HGXL58-BEqyf`URxr~^GA@q}T z;n1mU4;}G#8CLs`6WOd_PPNHpr#Ayae>xTCV;jPgvEw{D$~xe7hw;$lc0h6Eo9T?w z&s=Q;2}zH<#cqO@5%{ch#RUiUzTm@TIR+G__PTd8HETPM!4^iTjblGe;bCD zbaIBo{OX5nZy#?fBhlN<(pkTb^DzfL0W3%vFo)nf*L-90kyR$>FJXAuKY0VXl2u}C z7fz(A8=H2JxWP#INj`vkI?`|NSNuYnk!?u!7de4~hTC_$w`O*lnqSEZ)*(_xrRDf$ zzCf?!pk?^k#Sg%lq8q2GLm^<9ezPAY1S84a(xls8`jOpA!Z#$SQ5U7i z?$ql(SrzMnf@t;yLRTV>!y6;?yMvc1v*zOwG9z4jV5za84Ag$(7dy)@W-Fx z16{|=<`P(@>;*9vS3-?~d!o}$s`9;629aqvcSbULj(UoXBB7bquW5qhf7QT`a&(#> zIwT@$Tp$fc7-c(?h7e4MCJMs~uXW7gF3T*#Q{wBQ;=T)cD4vxSY&h)3IYSg@w@S$e zEGrdR3SH;Uf?{rFPx4B$@q55lWce>;>Gka3CrAio@t(PGfArblVZ<)j1@e+XD#ZivV$cf6#vQGi;s5Qwb2| zeRUQ8)hEx*h?cmINj!{@kUf8SKUt0<84t7Y5H7s~z`*Wbmyt5F?%ba(j?=z1tNIr4 zrKnFGsVQL0v=*j0Zolpdg)Rnrei+a$^1sD#Nb7blQTS-e0SLm zOE*+|vVj)s09)>-T9E-^gP(nCdX)mc)-wYSRUx-A(x4f|@6`2V1OpdlP4vJe&&h88 z?(euIu-BCwEh=)}w9A6yV4<4g9cDu9AbjBQicY4(ax%VEe+VNG<)d<<{F_OfPvsrC z0~8row_FUeJc+W_=%$wma&fLsnCZ;D?_1y*EhUcplAO5`8_Ifh;La9+Mscn|Bff^Q z0r=MGxQH)mp>uTcEEC`E%;ETgYhDF_!Cwy%Y9_rvk8 zK}T|_e=B6t*^@QMb0~R$mI}5BQPfh6ac*kaTAHSdcA}xo>8mPJR%My>`0aZp+dP#y zaO)9CO6%NG;EB|qSN3VB=kEVVQ2)*J*aY^27wb;2hLbWj}} zYZ^g$@ZaIhtN@G78(kOBzSp%MPHn2X8QS;|djg9&yVbS55X^H-@zhOD285jwM4z`I ze?lPoWxin^lAjV6ojZz9jb0YifT-+FAkoNMayv<(*dDSXQ1Dv%_LTb!pv;>WrU~#t?04ZXag~t&W=94`w{cU(GV!KJ<-VgrQP8fB)F@ z%hZDaK1Mvu>eIwttibc_T^X~reHEU@SX=WB&D-0R%3a8T{aD90m~UJ8G~jH!&vUrk zCDk_bDbl?CMi#9jf354JXiNrNz^L^+mQncpR-d2o`UD$V%2$no*w_d0ej9|i*kt2M zec_&o`dX9f83-nMoEEa-(BHsDe~j7s<-4y8-9`hBsMw^Cr*MXn;RrZX2S+OU3*Sz1 zt%<}z-Y5MK)~1lBhhAoX^^m3hC&4f08@!wmu ziVzrfokw87gCq^7(@kw|6*K3e9!Tts3ZC2+vF2&nGLl!*6Ms^WpCNHQPJ92pfPJYr z*r{&PlXSb)IMpOV=nk+we}|{^fYP;Sm_t1awdV3GLKj1r!&)OtOf;~u6|1}OL}^>2 zB>YW6J4I>Vq&Y8{rNj(|seqd9S>->1Id|Z9t>f5vR<=T7B~uU@NKu?CHmaUU=Mh{! zp#NNckV1PyVwDRH?!uvyneqv2PTy`XBJk5JSi*|Z^OwMY>e~)le+w|H->vXhl#Cx_ zH3su^&A+K@anCU`;fI=rV@CzTYCwKwxVPlK;87^xm^>zg^E%|^+a0Ulw*GDB zd=pEKB$Sv3YZBmb)xK+Z-(EZ_-{0~*7s0b4to%#xx0^{$lxfwE%--fFDq_EUE6jfM zzz#3o`7P}_)gO`8e?V}wzvfQI-?m4aD;I*A&jPv?X6X&68Pbr?xeS?CHN7pD#z!tj zm?Ne$j>oJI>eYN_exJ#Pdm&6ahegge{fyjRu*QKa-Kh8T%9QtZ6ww1yow1~#jpFlC zRFjoo_awA7$oZZ$lt|PF9zRG(Ogp1Kpe-{KTtBmbOBjm@sL)Blj z;nurj@J0q34An*38Lq{7Kp31ue~$ro7M{U66P|9b_k`aQbaWxkW~%Z$Td8D84rq~IHiSe*>b3n zd<6R6zlxHU58x;Y2gqVYUK~&_iZI>T^mq#>5BH@C?@=(D6zo!(sx}0MZQFN{Led5A zUS;I-Vq)?{lB_ABxEiWwA7`*OA(Bv?(?J2hDjHCgf4Iu{+&+fTzb&woHIgClA%Mr5 z;i$n}R#o(IRcpB4Dv?PnKKm0*U0$6CO2+jI43gxbOzV<`daz)Eq3ymRq~Gdzdk4kz z-)xXDh2SEd@r({pH|fAN^o|=EQ(BlI`L+AUo9rISY0g!gXykc?U4h>fMEy|A4lB50 zkO52qf6uMp4nEilHN6~EZJX{EhV?+vGuw*hP5WU&c!l0G?&l$NDMDpwVqs{SwmZd5 zVH|}<;6w}i_kCh83jo)n;4iLpAyilGp~O_+=@mcqZ<&(Mb=LNd5N{yoKzIli_ckum zv*vH6urES2`;kjMJ}xJLm_qIFWe?GKmiTVXe^3k!2b^`m3>o?5$BVIq1o9dOS$DC3 z?(s;aFBEqGH|0`W`aysVGn-74O$2pSi93Xez?f(<`kl`ZzxGZ7LUp%okEHifIRn;R z)_xH$JO(v`P_XR@VWEzrn zYD^gVbTd54g95jQQME%qHm7Yl5z6B&7k+6^Q85N0ei^chA(Hrikb*Yj|{S^81 z-9tAP}5fhAyvF3@!oYSrt;e7w5Tk{KXb(|DRmuOKW)uvPO#M)tl&PxgCl!M)ttQmtQ7NRCMsTEJ?TB1vY{Jl!zmO zUm1j=rM1m6K1Ip!xqkC2nKkBee`QuS9z}q-bFW^z#ufm=Ec?@Py`SBg4ZLb0W08OJ zu2Z{o?TK^BO2o>GPRU+nITNJ*?_PbJ0s+z{EKAxkK)+9>xvn%;x$RmQ`)c41-OX^X zLF55u0CdSku=Z<7dkVv$*>t$xbvG9%Che?dZmH+66S7j*^$f7!gD#PeeS zx0Y@mB5D*k%#j(oCN)>PtVrpz)U7P@1pN&8wXzf3gccCWsTiiS!3ps;cL(xyyccHlf(N&JB9Y0Gn5E&egbQw9%(zSp2mvgzf??dc&6+h zDN=L|i-Y>A+J3u-MQG^uDwrLdov3uB|K2cfh=7@Dbmv=NCm%(of6_lxXyebMYvxM0 zec3Tk=$r9;mNIL-l_xCWBCVq&mfLXHN?^#?wRh;nCYJZp6sPxb{$Zvm#Z_JB$qHjq zfeE9<0VO9@R7d{(oO}9!eV)+?8x=KygUlXjLL^1@dKsMnI(eG}i=W94JWgD09(;5S z6r@u;#b4-d;&I(^e}WxM`V!Ko$!wS0_oI7|AXQ?kgVp5%8&eF&O&^{;N@4zz-hk_V zY4YAOXP}F;LCs%gOJ2RD7$@k7%`=G65*7ode6EJ69`dukNwu33f9E!5#7*r^n^#;s zLEDki&#cB)JJgP;6ZTw38hRxnHiXif=#ccq8`_gheazg36WhQH z1p{4Mtd@ZB#L#}@pV(cHB+!N5eVO-HwQyiY+#hXsnaM;Ws(qmlXp)-L++6mPuLcZ0 ziP)7N8t+k7U+CAgGBWBbh7MycTr|ZaY4SQ)^y}Nn=TW^@Hk^SKO$Qts6&mDnYfxv4 zML2{@1^V4Te_HypvmTFb&t6ig`XG}*@b|v$`%IJkDsd(G?uZ!zqft9e@>whXRiq<@I^ z*+rbMdJgGV)+SoHMP(+W5g`RkyOZfOClAOD++RDjfA^2QA>Ndir>=&0VWTZcdWuGrkpxWv*4j*oQoX*AZBDKHQp0TrP=#iY;mkvgOMK#Kr@9i?jt3py*wM`T z-GmB5pBX|}9@XGSY=kHR-o{!9ge7##EnlrtKwXDv*?bEZj8Lr}3r~vusb`S0DOzw! ze+C0%g`D3nzo9uE4O{AcN+?D1%uFk^k9$T4%#~Gh|5Ocq?}Gg~ER$CHHSXN5(R!MC zrR2(7mLSBD>Ww=Z2q!48yfeWZd@mPM%D5U7xbW)yiGNT&YR)XU|2j1B!zBw(e4*?aDrkB*5Z9Xptp9!$gZ=A?z&J8gbhOBaYxwk z#rUjy49zUwCIr@J0B6uK6z3$^3(Ut-QvxRaF9!x(ho7V0#agrcsGjK4 z%U%DS_WkDNzLBoxYDVL z0v0yDP2WnWsdCThJ#{rJA`4&5${wl=!1$(_z=1|Y55%#U0cDL}e}_fk@KJ2PXei9c z(B019ICJ}X4~hwQ**ykT`I6jS!5@Bm@#s0Dlx`P)O%_YNzF6lf^bopdtN2lUy&a6Ruh?oSgdw%Y5H|cE4lae<3j)efImLM|CXm`ADAj z)I)yRF2@o$6c&S4!J}xt=BNziPyKCYf0>vQ%&t%$`d*8xoS-7s(Gr}OaxFyU+O{aY zXZ|1PDMPsk%PdYb2w?`4-=27iL(~gimeEi(O@F@``Vrxe^f92&8( z*Plj7=z3fbe^(=(pBQMuDTDB!EVAtL#u0YVgRgRAenftJiUGTFT*jR&5}_X82=~aT zB2W-{iEc5kvMW4%DnT(+ia&<@$~uhtL3GS5Y(&njeJnL~@qoZIBy?iT>?NNq(SEhY zI!)Ol5CUsF;!_%bd%p)paxtEBmG^}I_RkzGa1QHZe;-X*YfpD;sq#%MX@ZGk2uFh1 zPM1cO*lrqe$-9 zm~BjIf8$yP4p2#njHcRns#9*&+b$4aI{5P0(&~M?Jq*su_>l+hTOc{_VhnnIzLHnA zcToRYV`*;7kXClaDY&QaLX?aH5Caaa(b9N+O6jwn$AuBWB}EM>Z|bpQ!^YIgH+I^T zP%C>;;-we2n7%srM+BrE0aLablqR~Mk!p_^e@yJdqQ>! zE^y5yl;U%7o!wW;nP)|hgKp!{kvj|alXJfk*K_UAK&pdq_oR*^*gteK#J@Te2x9hu zulsCicjTVG#l&EjtXN=T(3q!31V}O_e;u??WyUeKl9i|du-wPHBTL%7S6d+Ny9>$vm7}3-NIXUI!Y`d@;I;#W zQsxrx<*z7P*X#r$Evh?%)3>P%cso8MMGZGbVkrC1d^5vJ4g1kt!oh;w>Vz1z<6Tmm zY27n&c}@^cvB&a2x_F6_>T%1q5k+o;H{HH)csFs(szCW(R5@f+JAZPMf(~N{-ru9P< zXOwJ6`0=uZZ@q=wm8;zOHM12w>kP0Y6AEthJ*l!-GVSN9Z-1&tMCz2BWJ!wUG$++| zSZ`!s>Pme@l?J21L1;-te`u-?MGvM6HHfILABT6%k1!lM5vX$;?~R^M@)7tBt=hzt zrXb}#QC?2wsk}gWFWfJWPneY_E2@bG_@R=ZpjS9_ zne#5TCy=*C_nB{dmBVP6Sqa+PHUFbvqNP8WyO{L=2=p;&B8*QBe|CZf>)K-JMDyMm zD0%Z0=$sx_oI*=vEHATgod7m$etZmRvrP;EAsR6JOCC)&7o4ziGxA&Q9k_y(>UsMt zvm9a48wLuG7VPyxj<9iTJ#2n3HBAKji?hOsDnI$;bch>)BG%dpp6Enrl8>?Ym%R?p z);xD=u?QznfQu)De}>`+@faEdUf?`@q{q+uL-H}yIL#*XZsKLfK1HZI3b{A+Z=?eO z3~`tBRSt?!v7`|^3OWvv#(n*@Iy#`$dCfW=*?T)!SY%3JZ*PCs=V#Vd(nBH9n9sQx z;VPc+&J|T4CBq^$PS)aM}gdk zp!=n-!57&j4Ie81w}+a#7G-J^2D2HqR4{fzG}K<6sGI$ls^<(!4+e4$<*HQ8P{y); z*2!q---+--e|+q@P>WRp)390~v8D=}#M=Y1H0QN+q-|aD_^_2;rQlwLt^yL>vsxSx z8W?BdFqFjKrD=rP+K;_c_}1&l6)JC}AjQYJ6|)(f{MbRaiK+bAYf}1Im5r`9kZ*k@ z1=(a7h8c!ROoypqni*3-mSu9R0_tg3337TAm_L(Ke+gGAWpy>wKGb4~k)8+^*|36H zunVadx7`=6p;v;**pQGhVzv>hT&%j7+#{PV{V=99bx6ww^81n74EI(4=&jKPM!>LD zLnWPI11@7zIH4hW3CAL7s^7#0p@(52YA<77pFVrNeK3Y4TW4-%8EvH8wjVpS<&zko zGE+qme^p`gs%YlNW-rso?8~BQ1=IHyRj_c=O;`7z;e$B@iE?e8uf{?GDh)PkqYIS3 zj|zXa@#TxvAmAYlzJckjk3xAexHB17HJ8lO<(MAK?=@{SPkzg3x2ze{I3)z2=8dVL zc_KQ5@Gl;lwz;cV!}PP^wI3#G*w40G%)WSq) zlDV!EF3d8rSJVc$77Eknu7tW=-=>5|B6`vDuOq2rwklvs;wk&s+0kXLW&2~yNops8 z#~(JHLtkd6lGgf>=jWXRTS-gOJ4IHkGzJakbod@`Mx$tT4CPfZLk@BS2=%UN@+yKi zf0R0tjgW2e3$0Xs8JC4!WmJ@Hw|10}5G0k5MxzRd)AtnXYI|&G3={VqC@#|JaFd9 zxUcw+aQsrleC0QT2M|iYMIb6K5Wn8h9U)FEk=n*~El*59nCb?~()o<1`@b-rKc2!L05s&JXP8GfZ`FP`|Bwry@T+MrjFG#?y?Q1!{QTk1 z2!&SHedebGPLL#9JS4tNCFmPIx`L;>r0r4V&tcl3BCQ;|0umqiu#i9fa-CBvzK3BtGBXtX;*vH08w{(y^9>$ za4K;ObJj|A_b;Z8EHI7@PGjuk0a8M`T2IB!;4kd>`ksn5{>oJ}unh6;h|15uR#5W< zD&ktqVA-~{+me@Fvd7NMf)>n;JKmHW5I+==etngikm^Y-wZ=t#G`iYUk!ZeiE_m1}UeNuAdFkHAa~lLRq-3kh<>0d1ko-hhr3MFLh3A21*8j zQKBm>fH0fy_!T~0BIqRte8X6g1j0WP1Pj!Qv^}u4)Asufd+Esf^FC?m4JCe6W@KQA&)u@%h8VDQn z6k_9Ga)yzAsG>&}C2;FGy%SS)Cunf%s!OFP)43@dp88bDSJGZ=kIMBvFHEqWT$>&{ zq-duUhBd)eH5H^jF~k6542rHmfJL~ zN0eWIsUA;L{xo?vi)`IA4Un}T32PynNh2tjfmaZ*rv3Iq%R;M+H7SQki9*%8#Gc&B z?Ov%w9aciQubAP_e#bwNzKvpjW|vm}XnTv#)S4;lCEzuy*DC{FlEW%Pi$EAW<&swc z8Q@r3wdtZcAL{+~>_n%bn60AwzAsc%+Tu;*wkNTU;)sbu0Sys=79twa%_=XfHGF^> zlAT~m)XYdhH=G+j@%*y>rF3bXOq-yEJQh0}HIg$GakP{jLv5x)khw*f_QI54kp~x9 za9>Dt3bPaJC}V$C>lO%cH6|% zYQ>AtAnqt?-0m>UzVqSTE~jVJq3caAc3(3)+&{OoM6)LKEQHIS6}M0u`gSf67|Q$T z&Q!kJjzZ8kG_}*Nh%E_Ism6?xBNauwB0s@*L-v$X6w_Z zRiu-T{lgkRx%l7}^{}gEPVKC4m^5_^^-FfUw-y#>Jl@)=;{y&q6PJ`E+RkCm(um#Y zTy3QMPs;Jy9jj_11dQB|)^F@bJN*G-ge=?-1=rS>Myix#s$pU^+;qD?(etF z?xvsvS0rt~Y)*61)WFBpArgiCzVN!cij&`=<_qO?Ap$43G+oidhSc6zA==K6r8R!M#dSIKWQNx)3O8T&m3YB z$rwxiBh4H#&N_jh;_#Vp!%niR(8;BrL88|u0n}F|i;I%qcBgPsN%*v*qW43FoJ%NI@T zG-w~5Nl)Jo1wDU=5%gq?Wo}99fo4>IdTac&T-UW0d7AT!^>*y>)H-P8jnEZ1V=3&n zX{i|b-;u@;Gq{HLVhU*Fxhi+-fzV6pdv5Y|h*0`{bDV?UWM6F(E;o5jPW^g(k>tED zYvPC}LWU|b%0zDG%q9M{8z+NG-Ap&Qgbr5g9sJ%UN@OYD^F+qPI&xalRb5b_dxwQI zLxcFzjd!E)Wek_!XswWae2g|z{Yz@Sjzu@cF6gwHK|o=Asy0+OgN3=Jfmt>=>XxL= z^X8W@dR8`PVwY1-d@FyNPWG;67UT5`J%)wj@d`dUI6rePD}2jsS)Kg0c#;f6N+i!h z4(X4cyJcm%SFX1*_fA#{vP{O`aobkZ- zHE}}`oRJK;uWwBsxE68Mp$5Q@2fFw98k(mYH3a3-702OZg%zP0Kj$9~s2iYw1!&Z9 zWXx;!%;RNL*2LXOxj4YUcB)+wnS2Y0+McdQhx@8NaVl zHx+^fjaNw6MGcGt^UGW0xptl!S{ntho5-mW4Ruo5#jhk+>s0l>3;z133^^Npxuag$ z|MThK9z&v9zaA8=k>)!qK8Y}Rs?+V??h60aoNIzVM^p32rCqw&j7Za*06&|Dt>VvC zn)>7JZDuP=U#)JlqVu=?cgE*vFgQGUs~rWp-S@68Sw?Tqnl3jrFqxSh#tv=-&^~q< z65M+!i~QQrLZSJSu$7!7DdQr)kNxA|Hj+*tS>Ns9mSM6Vdd8x|OeWE()A)VuSHH_O zMutMx2&$T+TVa$7OYY4=PhyPRd|q4zz%^N>Uf!mUC|#~L(Xb)db~vu{NCmq8s7-sY z%PjfyBeqk$0vFZc)+c=u8Hya5R)dh)cL~AjgSL^hqp_7U0%j^r`VS?=ghh2+GY+$B zUe%kId8L=8qtH~3Jdd8g0R>r}3U3KUdw*Y7nI%6mRaA|MTYGq-9<=K+7C-&61ZP_< z(qwgBe$C?xIl;-N7IBW%(GOW2sbF-7O$5WmJ;T1s-%35yYx&j?VRnceH;c!M+76Tp zjoe6MmNV&xVH8)?E%IDp19@VK-PiQwAIJ6t^oWQTzRIK9zC@SpwhHi@mF+lst20^| z9(*I8YiJdfiAr&IB@?syGJ2E6tD0QWiK~EvS}?=ymeZ zQK2~QE)%l)v62}}yo)D4^K&WL1lx;5N?H&*bqVB--kM3HluE#ZcepZGNs-L=ujZLe zdVT(~bUB`~Ru&T}EYhpG#yD8!aTrl2YxY=uC|y^_-reHzppuZ_)?L0g>GmDWgkG__ z?@t8{>}xSKIxeDwgTMUG<S|sC zg)+@G&8Mi@$on`&KkDrQv)9KK-}-&5|H@c~D}B++G0gHz?%{}C#QZBW+wfm>`wxnF z5TC7@nuE{3rMBkozz6E7cWOY>WbG>P zx=H%k%Q5*$6QlZ=${{Ir1oh};(v+!`=L@cl(>r|bfJ!-78uzw>M2gxy_ck-7a?$gm z-u3E(oqS%+yIl%&o~IWyv5?_kn_W!`E(>2HYBTPAjPM&tly=*T8`9rB0@}+;4`mJY zPNS(yF%M}MzRO23@L4%j3Q@qVO2 z{r!iODNPX>9d3_$o5pq7S2hgBon^B~{cX`rBw-Ct1_ZzooA{}cfsHa(jPeu&%)(2# z7+Y#a*S8Ja>hNKBQpWF@lJ>lS*zIj^U7k2Y08XI~q1mU&-Vd;^-%!imciQl8FX1Fr z^rbwR|D#6j5hiP1N)j*Is(&d%F_HMtXXqs2P&l8+$v3u3DfTe!PF5n`Y@L1dMh66) zh8efe6SMOA^+r!_)c6Un5|v>03yE9JbPFKP4dN~R+|&1OP;%I2?d&m8sF}ny;QQuyI=6` z|KyoCfF*HwW;8b{hWEKg2&Q;Y*_jr9K05x(1>Y#qJUW*U zRLsl$z$n?yPH%ty9q}3VZU}U>vRQ7s&j;M92=aX)r4h zZ3>GFo#TcS7d4O9Slu8OMRL=JdE5AkHEa!6)BnXA( zQsMJN@Hnlyfugfz@s9K3V!3S}U_3OtE#zsrQ`K@^iEU34pEv@E!ID-gv?Ch#eikb< zrD7y3Xi`zjPdK#gu6Rn(hsF+*w;A1t2lh|O1+-F+ggd-2&BXq_Z{sPEg=D1t_;zFL z>X7$vIcfXK2{ zh9(QHjb{HdLp=$F_y-Ye^^CNu#}VeQ^*xv<5_lD|0xR;m9;H{KIUURlFgXJnF~-9@ zkyPe*kMlPa^1Y;HJ6|`6=pKna{i^TtB(i{f62KoVGE*=o2G~m`9_;lgbd(SVcJa-0 zQq14W;~S6=W`(vZ)cINsCv_p-%Qesq`f^(Fch4*kPmgM{@W?SFJ}z69j&OWpB4}}GF$dimEa(pImvx6fuN-o9($AySZ%m5|oqmQc>ngO+o=Gg;ou@5Kqxf%bq#>wzu#8X0QM}w5D<_F`1i$R&qVn5 zE4F0-h#zzV146(zFc<>ypBUB~2)$;9T@ncaCKJ^H*w7$;*o~Dz@aqh)tHAiLvBF|d z82m;w5CnQ11H&MY8yFOX#A4`wq={V+ev=Fs3jN#gKkT4j_>I6&5bQ>pU^w`i9S8(M zApc?acYN#x=Lhp&4+4jSZm@>3#m z_DjqS`4KSWwXMTe3X=bZF9-p@?h68f!T%zS&HsO@3p$Ps6`=2?T{hZ)6Nb+*A+-fnE;`fk61LD~*NF zpz9zE2!vdBh5;crWQFlVkk^U}2;+x?|503ja|R-?C+vD{A;94OP7eLQ@*}|@*!7H& zU?}if#vmY8tZM|ZM;vs$2(gt8;lFlrupcD+i2uOov8sZ=i0gr|7!n9imTUxw7-2Jj z%SZ!dkYH($lr$1s@6s^1G}etDEQQ7RMVN&CUlV;M5fMTcS7T>a4`*`=LM%0`Hz7N_ Jw5kl@e*qNpAkzQ< diff --git a/doc/tutorial/tutorial.tex b/doc/tutorial/tutorial.tex index 0437119..6d7773f 100644 --- a/doc/tutorial/tutorial.tex +++ b/doc/tutorial/tutorial.tex @@ -90,8 +90,8 @@ It includes: \newpage -\section{Building and running a program in SRAM} -\paragraph{} + +\section{Building and running a program} A simple LED blinking example is provided in the example directory. It is built using:\\ \begin{small} \begin{lstlisting}[frame=tb] @@ -154,9 +154,10 @@ The board BLUE and GREEN leds should be blinking (those leds are near the user a \newpage -\section{Building and flashing a program} +\section{Reading and writing to flash} \paragraph{} -FLASH memory reading and writing is done by a separate tool, as shown below:\\ +Flash memory reading and writing is done by a separate tool. A binary running in flash is assumed to +be linked against address 0x8000000. The flash tool is then used as shown below:\\ \begin{small} \begin{lstlisting}[frame=tb] # change to the flash tool directory @@ -176,21 +177,6 @@ $> ./flash write in.bin 0x8000000 \end{lstlisting} \end{small} -\paragraph{} -A LED blinking example is provided:\\ -\begin{small} -\begin{lstlisting}[frame=tb] -# build the example, resulting in blink.bin -$> cd stlink.git/example/blink_flash -$> PATH=$TOOLCHAIN_PATH:$PATH make CONFIG_STM32L_DISCOVERY=1 - -# write blink.bin into FLASH -$> sudo ./flash write blink.bin 0x08000000 -\end{lstlisting} -\end{small} - -\paragraph{} -Upon reset, the board LEDs should be blinking. \newpage \section{Building and installing the CHIBIOS kernel} diff --git a/example/blink/.cproject b/example/blink/.cproject new file mode 100644 index 0000000..2fd5b99 --- /dev/null +++ b/example/blink/.cproject @@ -0,0 +1,713 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/example/blink/.project b/example/blink/.project new file mode 100644 index 0000000..249c18c --- /dev/null +++ b/example/blink/.project @@ -0,0 +1,81 @@ + + + Blink + + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + ?name? + + + + org.eclipse.cdt.make.core.append_environment + true + + + org.eclipse.cdt.make.core.autoBuildTarget + all + + + org.eclipse.cdt.make.core.buildArguments + + + + org.eclipse.cdt.make.core.buildCommand + make + + + org.eclipse.cdt.make.core.buildLocation + ${workspace_loc:/Blink/Debug} + + + org.eclipse.cdt.make.core.cleanBuildTarget + clean + + + org.eclipse.cdt.make.core.contents + org.eclipse.cdt.make.core.activeConfigSettings + + + org.eclipse.cdt.make.core.enableAutoBuild + false + + + org.eclipse.cdt.make.core.enableCleanBuild + true + + + org.eclipse.cdt.make.core.enableFullBuild + true + + + org.eclipse.cdt.make.core.fullBuildTarget + all + + + org.eclipse.cdt.make.core.stopOnError + true + + + org.eclipse.cdt.make.core.useDefaultBuildCmd + true + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + + diff --git a/example/blink/Makefile b/example/blink/Makefile index 1906b91..a8e3532 100644 --- a/example/blink/Makefile +++ b/example/blink/Makefile @@ -4,7 +4,8 @@ BIN_IMAGE=blink.bin CC=arm-none-eabi-gcc OBJCOPY=arm-none-eabi-objcopy -CFLAGS=-g -O2 -mlittle-endian -mthumb +CFLAGS=-g -mlittle-endian -mthumb +#CFLAGS=-g -O2 -mlittle-endian -mthumb ifeq ($(CONFIG_STM32L_DISCOVERY), 1) CFLAGS+=-mcpu=cortex-m3 -DCONFIG_STM32L_DISCOVERY else ifeq ($(CONFIG_STM32VL_DISCOVERY), 1) diff --git a/example/blink/blink.bin b/example/blink/blink.bin new file mode 100644 index 0000000000000000000000000000000000000000..1af82eedf5d4b42a53ec5cad772021b056ce8658 GIT binary patch literal 168 zcmZqJ%CO$yQ!4YJPYld6+#B{bY++dM|HUEs$R{RdAe{uHnS?T&KCE(*O4#exu&2PC zVLwQX!#5FUh?)g(85Hpk3`{T`Gk|vd|93f`+s0yVS2!@pXDPXi_0f_`gKZKuR+`jL&_sxmM7mZKl z?BPESOncE5YIU;=e*yIch~a%>%LsR%zbO@&mUGUp8wa{Prt~yiShA${?0??N};;w zL-@nly}O16ckj*)4(%GeQDt^Wt^#}~+5pdPga3hz;J0DK^-A{HGxuuhE`!<6ByC3^y3BoAxLae>Ez;w4 zl`En2_N$&S+B$@BGi2{!%}tqM=tCHfYA(>+Lq>H8;}qnYc*($MLNDoKB-bt>coE|} zh*Vk3{GcBBu=Krx*(h#$U+Rw3!S%SW?UG8} zi8}5rYxeiWl^goB`9QZpM^`I-aQ#T1NVihyNsjBqwP%y{>`}P%)-kQ*+7vEk!04K( zVR3uoOK{eT^u-k{o5yhEYMsqr>3%UV2n-YLU3MA@)}?#ryI+gRJ+>|P`BbjHKUa4% zBe|iRIG)ozg4v98&vq)uU_qP?$F9JPILFg{{i64inC_{Jrh7+UHK(0^Z25+yfV{6y z)@a%rJ#G4XY+g4}k6)eFjcm{BQ>&(XIR-zP&P3-p<(~BPJoQSgJX;A$zCJazAgnLt z=YvvB>dA7f$ z{9?tT>&VW`$i&nme)N{%wNg{4j+}_R7stKo6^bKIVXtFTJ%FLT>Jf(IQ*k}=_s6lP9^g89RnNrr$j`-bMm=5@?bXYSYzt<+H<4d4 zc3?EIYI1ZCQz0l6@#b8Quxos=8U|1AnjDty{+`J-&VaYUTyCy#H<>HVW^+xqWNXJ3 z!a@-~tj2!MMmR8(?DXQoLV%sjmV#n^HeaX~Dzib&%B6a-Qdnr|a%E=G)(XX9H8^S& z_B62ZOclpifz#P=esS7{SU5bkqzjKeIGHVkVYOVWhtU7o6qUYl_?=Mmr6F=A3ggM{ z>sCnZQ*>%)8Y0Thn$~pOlz5x}wxNr*OncOB$FQ;L-x`;$r}={z?R^`bjW<3TX& zC*BrzoD%ubiniM8ZLyZk^CH)3keI!`ccER8C7burx7!MM+qW+6YgQgW-zQDg>eK%C zm5Ga|UKBZxF1a0N)_VIHR9o7% zzbg)s*|kq^Q%B$KW{)eq%~%6Bx{FrIm9;Gq)l;iXJ%y9<(Nf_@7-qC>6qjXj1Z6U>e`SyrxuCL-_}f*PAu~ literal 0 HcmV?d00001 diff --git a/example/blink/default_bootloader.bin b/example/blink/default_bootloader.bin old mode 100755 new mode 100644 diff --git a/example/blink/disasm.sh b/example/blink/disasm.sh old mode 100755 new mode 100644 diff --git a/example/blink/main.c b/example/blink/main.c index 0889b81..66fa6e0 100644 --- a/example/blink/main.c +++ b/example/blink/main.c @@ -65,6 +65,9 @@ static inline void switch_leds_off(void) # define LED_RED (1 << 14) /* port B, pin 14 */ # define LED_BLUE (1 << 15) /* port B, pin 15 */ +void _tmain(void) { + main(); +} static inline void setup_leds(void) { *(volatile uint32_t*)GPIOD_MODER |= (1 << (12 * 2)) | (1 << (13 * 2)) | @@ -74,7 +77,7 @@ static inline void setup_leds(void) static inline void switch_leds_on(void) { - *(volatile uint32_t*)GPIOD_ODR = LED_GREEN | LED_ORANGE | LED_RED | LED_BLUE; + *(volatile uint32_t*)GPIOD_ODR = LED_GREEN | LED_RED ; } static inline void switch_leds_off(void) @@ -94,7 +97,8 @@ do { \ __asm__ __volatile__ ("nop\n\t":::"memory"); \ } while (0) -static void __attribute__((naked)) __attribute__((used)) main(void) +//static void __attribute__((naked)) __attribute__((used)) main(void) +void main(void) { setup_leds(); diff --git a/example/blink/o.bin b/example/blink/o.bin old mode 100755 new mode 100644 diff --git a/example/blink_flash/blink.bin b/example/blink_flash/blink.bin new file mode 100644 index 0000000000000000000000000000000000000000..314b6c061a067b6c35912e4625b1f31c5272f449 GIT binary patch literal 3296 zcmdT`U2Gf25#GH!i6@4Q8OcS+vx^)l1$7{&rEI5YQMW$c8DbKch!u zP*N`~Y6PXNA`gLESe61vR060#UlS;4{NO4EY0D1M7l%S#EG0k`1gIPWEmqWtrb)%h z%#z1rT=(a-2OMwa+iz!QXLn{uG@`!fBl4df@RIu;hl8*q|37~3+ZI<}s>mCWOH`d$ zAZ)P~QVARXitMWvC`ez_;p1@FD*n!ItNnz%xy|L; zGk^>>Eu7zu+nESqzl1L_3HxK!596L1*w}Y>Sx{++uvfRW(qZvhiHI}|Y%~9OuwO|h z($`A@`HuNU`qMOF=kLPEFd#xk9hivQ<4H|DS~}7_Faa2i+w)q!v`dstI!&`#z5N!+ z(JVbcFQQgi@ip*&-iQBvo#f<8J9mD!BVUQz=5t_6JYMn%K`Ux!(m`|9`mnqs(4rt@ z&7c)8-y6SKzBi1zVk%bH&V&`Lretk zXRRn$o;9bySDz^LR+leBd?N2Tv*tMN>y>YPc3Wf~c%Fo}2i_kLhXX6>L)O(zy^r>3 z;74bbZs5tH=ji7}>)NK+nGJNFS=LsPk@svb!?IiVr<#^%|d2VR)APVe1qXO29S!rF{_f%t&3^4>D=U5s}c%vpXv7anTeD05GQWHD4D0A%lHMxmBvFPX6<2A^jhP}rY zNse?s`6csst-by9yKyGUaOVyQ2mSlMS9r84ZM7AJ_P6z|Ww|PDO;)6Qp+YYBs$%sY zMq9C?BM%x!Hg*obR6W1_EMV_%&|Qc>vNBom0z5SGS!~DRahmIH9+tBt6v#@;S zHuI!nla;poWThQ#Zg*6ryM>B8-&XW`^YBIac+u+vIllmF?=QBuznVemnW7J*o9m=I zUfvlOOfhYwC(=vlRE*>x^A0X0uO^q0OQTDpshId$Q1|7CN>vzrE%PpNCR(se1EUkE zSQK9GW&A~rf&l_52RowiWEcXpmLTb1uNm?bOq1&74FN!-2oGMPNqQ}U~7WLYXai_7S24E&n+wkNEm9-6rBt5 zeBRf>>1mN$Y})w~Xfw=wP)X3PdAW5oqw@;H>kQ^lJ?op5$I@+NaDO0w*L0`}!fp#I zOAiwz)$p5GVU$t&M3_l}k`xMR4)-6))z)DT|7DKO=Xha`Q>&EGx}Ca>O|aqk1a;GX zS&#Di6trMfA6{z7P zyvk!@lm!uUorINCAeD-pTL8<0q?=9wO1&1HqX+S{uyzZDPMaKYYjyytkEUT&COM<* z;dao5<68pOGIcz=1YZm9Ossil>OBTIl@ofAgzFrvLk`C%KlKgY;&x%g8Ks6%_KIEu zeOF*jvt?eYMK;P0iIlT~z{0bruM2TtBEPFy?wfxfY%a>^ZZdT{WGag7x3Ew8Faz_5~OFsM%MhEkq~5?!-}Cckhd@Lk~N9 zf*$zxzv(Ly?<>@NYn|BIfp@pGBi?3r4MH^YT8QPNvJo(y7!QqwY^`r-WN6H5Bt|gS zpNu%?s+B%%%2CMk`f06>hKq#UO1kH=I_fu2cR9*wpzd-KoO7~;lK>9t zE(h`#?X;|=z*?xgoCN2bY~dt;gSyMfa?VT(Ckq_ZTR7aGnHGPt;1B99XO(kqwQyE} zgSyL6#<@h;aomIlb zW)*UCCo!h8%s8H$i+$2kuZ;4;d_}ZPLgJeD*r}7ofYjIVwD*`E$m->fJDwHRNVi^* ze(X7x)$e}1bLU2N=T5YmiaqGR$-Q+#M5$f&`2%VM{NL|D5NX^PJy#&U0*k@eek4wrZLt%*Q6y2vQHZgmWX_Sty$j zB^!kY?=|8Y;gs!SQuc7-+xfCf2>O&z)uhO^=e|9tcixTackwC3$LL2TewY9gU;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0!)Aj{NEwq6`qG&!uirw zK2|498>%9`vMeumpr$uJ!ZVkW$*mm_IKumU8{q2c;>e1)|&Bw@ptEK;@0qsuH(4cXiJ`)M?$>qu!bM>+R04c2Jv|@|S)rrnM=pS$m$;;u)7w{~wn6 ze?2dzoyV_y@q;VQlik^H5M$Xp=Uukys4qJ?UL8Ih{o92rHf_#kn+jJ)yBEGrez@@U zAnBTLO|&jMSyuy_Cy$A`+UyhB;qck8_Plt$ChE^bKo1fZ@ z9o`>~`Y`h0@B#ShvOCr-oqhv+8ecbi9g+2}bhZZ#m^PTvza#qg zMSqjlm|#X`R{9F`PupItlOx` z%9?Yi$@M0?=b|q}XD;sZlI?pJ_im~B-ofZ2t8U0n-h0h7^`!A;=Cc}&r!9*MuP)DD zn8rF@#yJ;4cj^;Ey&%>Ko) znfIfxzP!o%Uikf}wy|@rw1mcfFFbqtX>t(^x=82x_jYD(iXOl2gdh}pBhiJiD{g?4W}Y+ zM@~gf#ZJW%ZT25k`(4w*qj}K!qsiCEW}i|wY1C=^5^X+wD&C-PQet}VlPG1%>_>$A z_3P_j^j0p}FMi;3HuWsldg|sJ4)0>Em&z*xC^fnfjmR6d?&IB=$sUn8xFp{O>u28- z(;mdjb*rnwWM`f<6aNu<{3Iexl^CdJW+p^ETlg;zvukO3AP)elv(OCc84e64pWAy ziD_%=G7ei!#5U`hru8>YFQ3O6{+m92*{1@1%v@Cy%Z-y8<08f|eL~*Mj{1EvKUGID ztIa3#*2-8Qd7JVzqVvwOU0~JECo%-J;xWo2|@>dkZOKz5m{*r7gqZjjbi z+@I>hSkH`6|GVytKg4~p5uf(_ov{NEA_BQPVR!^#F$&vk&Fx@Hd)E%k1slzs#3?IOn<+rfRTY zQ}c*BK)PTvAZ@lQHUqFBU9iFVrE!$GYA_e+g3W-m*{;|Oz=m|eW=h%|Qf#JRLt3$s z{v1;LnSwv03pQt^&Buz(S=f*+*wpNjK1-H4Vl}(qGqmrGz{0h5c}jLm8=Qhd4Qxo4 zYwJwXuIXE%5AG6~ZwRffa!F+D`7Bg8I|IA?naL#WT%qn4O1=s#TqkFwu|=)@T*dtl zZV&W0n#$WN?)O(s`4>Jazt46~toAQDzFT(xl>f_*u6*&{(v>fKONq9t{cQ5}dHl4( z4-;SlOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k z025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k z025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k z025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5_+KJmca%C^?kZ2CGQVa+ZJD!N)MtgY zo!ZQHUjsTt^vGaLX!R(T1melGzI%PwMp1fgSy#EO(s6@!qjs~sN~_U+&(;H&#LXsoh?f*^|?`8Hi_2=V%kRoGzhP{;|v5%u9?{CT9pOIxpmEFI*wNzvnWy z#wJ|QQAOVh9ai-1(0{Dx??6AQ=vL^Xil*^Z-4FeY(!LGad>kU@kGXy#Pxj_|iS{2E zngD6gkD8tFHv7A6h4oN>uf3qjp9d68?RY%$8-z%ADeX_^8sxV*zQa)%Z|W-TRi%aY zpI)W?^|C@c&6h4OX!7q#MN_*+@$XGTlbr^C-^cq7v{MX{Ci}gX{uyOG)Q-nEikkR2 zL z;6}tBg8m%--emau3iP$mcN%&c`n0k?XQ9>g{F_C84ZRlpD~1 z{#P0LR_Mb1+r>I))gM3fVP(ASmiBRr-fPhZpj-0mb%?{3_Sc{vf`5(hht4PE13Vte z2edykXg{v3|07HP-#}~VPj@2m$wHr2_-VL8)b*@@Eud-r-x3|MSpv{~)XpKQ>`Q zU|{b(v>xK+hVH#={PzhO)J z9*h35MZXBWS?T{X=oPq+Z$h3WzJCKuoqD?HCYtNSr;(Kg&< zYI`Mgm%?kUrTs4GA7Vev{MG?2yk>s6a0Po+G+x-E$Dzxy9_mKx*^NRWU)jYEpr2Lp z%b!9&p~Uk`(5k<$kv-OL=9_md?f(SrLw|EWK7+<1zgGxNET9y_{+j1SLn2s<`~H2y zznh`e`EIx90BOu`^60g+4?-UXFZ29QSlXYm=tI!2z#lWe{yFqoWk24u*#ABB(+Z#8 zKyOz1(+}FOV19GFo1k|n_O;MAD)A=#@p!t=*Cp!HqB9;(1mcN3E%*hiV=R^yMS@ye zV;mpohpv)DMf%NNlWONVN5IbcblDU^+Q5l%7cH4b4r>O(L}? zmDWe`JKN}n=8>k|yJ4Cyhju1n@uG^vW62_&92$b|>Fn&980n7;j_AVIqHoqp!C;GB!M-C&lLN{T(e8{-4p4=M4I-1s@o&U;nv8+NIE}De@9nSqv~*| zD>fDi(yzavVC?(4*b?-I+Iv(Bbh%U2!9A(|7|d12y8_*Ye?41URO3)8CV%55UxQoo zeIDrQ$d^MQeRphlcL)}e=LWSuQR(Pc)}BWc7>N(<>g*wy1S+J<-|s^yiZ1FE+z||P z-mmoB7Kx=>xn<6C;KMGWW?l;gB+S7 zD;E)=5SC1T!APbHjc^lTKUoBSTh@E+7$uopI;R6c8&nAnXRNbbh zJNiL0J&}?zzAds#-;zMM${jKGReC1lsx=tecK?>5;F5!zQJ-hf(&cLk1)`C$F@0pC zx(r`SplDfJg1)}~qJRnM6aeY~f1nN^4^}?(^2erQ%s4foGf))2#wuxtjdjXCK|PK0 zl@d4`MgAG)x!4QFCz3-3Z;76cB;u&)Dscpoa`ma!q&{Bce$m;JxQb&&IRY{eaEuTJ zlyR_yzM&yKm7?8%r$wA-Bqfo_G|*0e3X@qtGTjL{X9GKuxWCR(`2y`0kuFxjcZJMwv*wGgm+o>B?Sm<4x9gEaO|3lwW!-rv~J4MokhzbIKLH&G*+`QRxUru zn^|r^i!RGFrBS2>8AWyCqOb{c7gOA}t))$+Ef<_8n7_ubDMjpZhe%%Q(u@7`IFwRW1z`xk$_2GLlY4%!`K>DECtaFs;}c Wz{W|A4CnJFr8tA1*}c7R4gV)e
© COPYRIGHT 2011 STMicroelectronics
- */ - -/* Define to prevent recursive inclusion -------------------------------------*/ - -#ifndef __DISCOVER_BOARD_H -#define __DISCOVER_BOARD_H - -/* Includes ------------------------------------------------------------------*/ -/* #include "stm32l1xx.h" */ - -#define bool _Bool -#define FALSE 0 -#define TRUE !FALSE - -/* MACROs for SET, RESET or TOGGLE Output port */ - -#define GPIO_HIGH(a,b) a->BSRRL = b -#define GPIO_LOW(a,b) a->BSRRH = b -#define GPIO_TOGGLE(a,b) a->ODR ^= b - -#define USERBUTTON_GPIO_PORT GPIOA -#define USERBUTTON_GPIO_PIN GPIO_Pin_0 -#define USERBUTTON_GPIO_CLK RCC_AHBPeriph_GPIOA - -#define LD_GPIO_PORT GPIOB -#define LD_GREEN_GPIO_PIN GPIO_Pin_7 -#define LD_BLUE_GPIO_PIN GPIO_Pin_6 -#define LD_GPIO_PORT_CLK RCC_AHBPeriph_GPIOB - -#define CTN_GPIO_PORT GPIOC -#define CTN_CNTEN_GPIO_PIN GPIO_Pin_13 -#define CTN_GPIO_CLK RCC_AHBPeriph_GPIOC - -#define WAKEUP_GPIO_PORT GPIOA - -#define IDD_MEASURE_PORT GPIOA -#define IDD_MEASURE GPIO_Pin_4 - - -#endif - - -/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/ diff --git a/example/dac/main.c b/example/dac/main.c deleted file mode 100644 index 1f89d33..0000000 --- a/example/dac/main.c +++ /dev/null @@ -1,242 +0,0 @@ -/* base headers */ -#include "stdint.h" - -/* libstm32l_discovery headers */ -#include "stm32l1xx_gpio.h" -#include "stm32l1xx_adc.h" -#include "stm32l1xx_dac.h" -#include "stm32l1xx_lcd.h" -#include "stm32l1xx_rcc.h" -#include "stm32l1xx_rtc.h" -#include "stm32l1xx_exti.h" -#include "stm32l1xx_pwr.h" -#include "stm32l1xx_flash.h" -#include "stm32l1xx_syscfg.h" -#include "stm32l1xx_dbgmcu.h" - -/* board specific macros */ -#include "discover_board.h" - - -/* hardware configuration */ - -#if CONFIG_STM32VL_DISCOVERY - -# define GPIOC 0x40011000 /* port C */ -# define GPIOC_CRH (GPIOC + 0x04) /* port configuration register high */ -# define GPIOC_ODR (GPIOC + 0x0c) /* port output data register */ - -# define LED_BLUE (1 << 8) /* port C, pin 8 */ -# define LED_GREEN (1 << 9) /* port C, pin 9 */ - -static inline void setup_leds(void) -{ - *(volatile uint32_t*)GPIOC_CRH = 0x44444411; -} - -static inline void switch_leds_on(void) -{ - *(volatile uint32_t*)GPIOC_ODR = LED_BLUE | LED_GREEN; -} - -static inline void switch_leds_off(void) -{ - *(volatile uint32_t*)GPIOC_ODR = 0; -} - -#elif CONFIG_STM32L_DISCOVERY - -# define GPIOB_MODER (GPIOB + 0x00) /* port mode register */ -# define GPIOB_ODR (GPIOB + 0x14) /* port output data register */ - -# define LED_BLUE (1 << 6) /* port B, pin 6 */ -# define LED_GREEN (1 << 7) /* port B, pin 7 */ - -static inline void setup_leds(void) -{ - /* configure port 6 and 7 as output */ - *(volatile uint32_t*)GPIOB_MODER |= (1 << (7 * 2)) | (1 << (6 * 2)); -} - -static inline void switch_leds_on(void) -{ - GPIO_HIGH(LD_GPIO_PORT, LD_GREEN_GPIO_PIN); - GPIO_HIGH(LD_GPIO_PORT, LD_BLUE_GPIO_PIN); -} - -static inline void switch_leds_off(void) -{ - GPIO_LOW(LD_GPIO_PORT, LD_GREEN_GPIO_PIN); - GPIO_LOW(LD_GPIO_PORT, LD_BLUE_GPIO_PIN); -} - -#endif /* otherwise, error */ - - -#define delay() \ -do { \ - volatile unsigned int i; \ - for (i = 0; i < 1000000; ++i) \ - __asm__ __volatile__ ("nop\n\t":::"memory"); \ -} while (0) - - -static void RCC_Configuration(void) -{ - /* HSI is 16mhz RC clock directly fed to SYSCLK (rm00038, figure 9) */ - - /* enable the HSI clock (high speed internal) */ - RCC_HSICmd(ENABLE); - - /* wail til HSI ready */ - while (RCC_GetFlagStatus(RCC_FLAG_HSIRDY) == RESET) - {} - - /* at startup, SYSCLK driven by MSI. set to HSI */ - RCC_SYSCLKConfig(RCC_SYSCLKSource_HSI); - - /* set MSI to 4mhz */ - RCC_MSIRangeConfig(RCC_MSIRange_6); - - /* turn HSE off */ - RCC_HSEConfig(RCC_HSE_OFF); - if (RCC_GetFlagStatus(RCC_FLAG_HSERDY) != RESET) - { - while (1) ; - } -} - - -static void RTC_Configuration(void) -{ - /* Allow access to the RTC */ - PWR_RTCAccessCmd(ENABLE); - - /* Reset Backup Domain */ - RCC_RTCResetCmd(ENABLE); - RCC_RTCResetCmd(DISABLE); - - /* LSE Enable */ - RCC_LSEConfig(RCC_LSE_ON); - - /* Wait till LSE is ready */ - while (RCC_GetFlagStatus(RCC_FLAG_LSERDY) == RESET) - {} - - RCC_RTCCLKCmd(ENABLE); - - /* LCD Clock Source Selection */ - RCC_RTCCLKConfig(RCC_RTCCLKSource_LSE); - -} - -static void setup_dac1(void) -{ - /* see 10.2 notes */ - - static GPIO_InitTypeDef GPIO_InitStructure; - static DAC_InitTypeDef DAC_InitStructure; - - /* DAC clock path: - HSI (16mhz) -> SYSCLK -> HCLK(/1) -> PCLK1(/1) - */ - - /* set the AHB clock (HCLK) prescaler to 1 */ - RCC_HCLKConfig(RCC_SYSCLK_Div1); - - /* set the low speed APB clock (APB1, ie. PCLK1) prescaler to 1 */ - RCC_PCLK1Config(RCC_HCLK_Div1); - - /* enable DAC APB1 clock */ - /* signal connections: HSI(16mhz) -> SYSCLK -> AHB */ - RCC_APB1PeriphClockCmd(RCC_APB1Periph_DAC, ENABLE); - - GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4; /* GPIO_Pin_5 for channel 2 */ - GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AN; - GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; - GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_NOPULL; - GPIO_InitStructure.GPIO_Speed = GPIO_Speed_2MHz; - GPIO_Init(GPIOA, &GPIO_InitStructure); - - DAC_StructInit(&DAC_InitStructure); - DAC_InitStructure.DAC_Trigger = DAC_Trigger_None; -#if 0 /* triangle waveform generation */ - DAC_InitStructure.DAC_WaveGeneration = DAC_WaveGeneration_Triangle; - DAC_InitStructure.DAC_LFSRUnmask_TriangleAmplitude = DAC_TriangleAmplitude_1; -#else - DAC_InitStructure.DAC_WaveGeneration = DAC_WaveGeneration_None; - DAC_InitStructure.DAC_LFSRUnmask_TriangleAmplitude = DAC_LFSRUnmask_Bit0; -#endif - DAC_InitStructure.DAC_OutputBuffer = DAC_OutputBuffer_Enable; - DAC_Init(DAC_Channel_1, &DAC_InitStructure); - - /* enable dac channel */ - DAC_Cmd(DAC_Channel_1, ENABLE); -} - -static inline void set_dac1_mv(unsigned int mv) -{ - /* mv the millivolts */ - - /* vref in millivolts */ - /* #define CONFIG_VREF 5000 */ -#define CONFIG_VREF 3000 - - /* resolution in bits */ -#define CONFIG_DAC_RES 12 - - const uint16_t n = (mv * (1 << (CONFIG_DAC_RES - 1))) / CONFIG_VREF; - DAC_SetChannel1Data(DAC_Align_12b_R, n); -} - -void main(void) -{ - static RCC_ClocksTypeDef RCC_Clocks; - static GPIO_InitTypeDef GPIO_InitStructure; - static uint16_t dac_value; - static unsigned int led_state = 0; - - /* Configure Clocks for Application need */ - RCC_Configuration(); - - /* Configure RTC Clocks */ - RTC_Configuration(); - -#if 0 - /* Set internal voltage regulator to 1.8v */ - PWR_VoltageScalingConfig(PWR_VoltageScaling_Range1); - /* Wait Until the Voltage Regulator is ready */ - while (PWR_GetFlagStatus(PWR_FLAG_VOS) != RESET) ; -#endif - - /* configure gpios */ - - /* Enable GPIOs clock */ - RCC_AHBPeriphClockCmd(LD_GPIO_PORT_CLK, ENABLE); - - /* Configure the GPIO_LED pins LD3 & LD4*/ - GPIO_InitStructure.GPIO_Pin = LD_GREEN_GPIO_PIN | LD_BLUE_GPIO_PIN; - GPIO_InitStructure.GPIO_Mode = GPIO_Mode_OUT; - GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; - GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_NOPULL; - GPIO_InitStructure.GPIO_Speed = GPIO_Speed_2MHz; - GPIO_Init(LD_GPIO_PORT, &GPIO_InitStructure); - GPIO_LOW(LD_GPIO_PORT, LD_GREEN_GPIO_PIN); - GPIO_LOW(LD_GPIO_PORT, LD_BLUE_GPIO_PIN); - - setup_dac1(); - - dac_value = 0; - - while (1) - { - DAC_SetChannel1Data(DAC_Align_12b_R, dac_value & 0xfff); - dac_value += 0x10; - - if (led_state & 1) switch_leds_on(); - else switch_leds_off(); - led_state ^= 1; - - delay(); - } -} diff --git a/example/dac/startup_stm32l1xx_md.s b/example/dac/startup_stm32l1xx_md.s deleted file mode 100644 index 9a8389c..0000000 --- a/example/dac/startup_stm32l1xx_md.s +++ /dev/null @@ -1,365 +0,0 @@ -/** - ****************************************************************************** - * @file startup_stm32l1xx_md.s - * @author MCD Application Team - * @version V1.0.0 - * @date 31-December-2010 - * @brief STM32L1xx Ultra Low Power Medium-density Devices vector table for - * RIDE7 toolchain. - * This module performs: - * - Set the initial SP - * - Set the initial PC == Reset_Handler, - * - Set the vector table entries with the exceptions ISR address - * - Branches to main in the C library (which eventually - * calls main()). - * After Reset the Cortex-M3 processor is in Thread mode, - * priority is Privileged, and the Stack is set to Main. - ******************************************************************************* - * @attention - * - * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS - * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE - * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY - * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING - * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE - * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. - * - *

© COPYRIGHT 2010 STMicroelectronics

- ******************************************************************************* - */ - - .syntax unified - .cpu cortex-m3 - .fpu softvfp - .thumb - -.global g_pfnVectors -.global Default_Handler - -/* start address for the initialization values of the .data section. -defined in linker script */ -.word _sidata -/* start address for the .data section. defined in linker script */ -.word _sdata -/* end address for the .data section. defined in linker script */ -.word _edata -/* start address for the .bss section. defined in linker script */ -.word _sbss -/* end address for the .bss section. defined in linker script */ -.word _ebss - -.equ BootRAM, 0xF108F85F -/** - * @brief This is the code that gets called when the processor first - * starts execution following a reset event. Only the absolutely - * necessary set is performed, after which the application - * supplied main() routine is called. - * @param None - * @retval : None -*/ - - .section .text.Reset_Handler - .weak Reset_Handler - .type Reset_Handler, %function -Reset_Handler: -/* Copy the data segment initializers from flash to SRAM */ - movs r1, #0 - b LoopCopyDataInit - -CopyDataInit: - ldr r3, =_sidata - ldr r3, [r3, r1] - str r3, [r0, r1] - adds r1, r1, #4 - -LoopCopyDataInit: - ldr r0, =_sdata - ldr r3, =_edata - adds r2, r0, r1 - cmp r2, r3 - bcc CopyDataInit - ldr r2, =_sbss - b LoopFillZerobss -/* Zero fill the bss segment. */ -FillZerobss: - movs r3, #0 - str r3, [r2], #4 - -LoopFillZerobss: - ldr r3, = _ebss - cmp r2, r3 - bcc FillZerobss -/* Call the clock system intitialization function.*/ -/* let main do the system initialization */ - bl SystemInit -/* Call the application's entry point.*/ - bl main - bx lr -.size Reset_Handler, .-Reset_Handler - -/** - * @brief This is the code that gets called when the processor receives an - * unexpected interrupt. This simply enters an infinite loop, preserving - * the system state for examination by a debugger. - * - * @param None - * @retval None -*/ - .section .text.Default_Handler,"ax",%progbits -Default_Handler: -Infinite_Loop: - b Infinite_Loop - .size Default_Handler, .-Default_Handler -/******************************************************************************* -* -* The minimal vector table for a Cortex M3. Note that the proper constructs -* must be placed on this to ensure that it ends up at physical address -* 0x0000.0000. -*******************************************************************************/ - .section .isr_vector,"a",%progbits - .type g_pfnVectors, %object - .size g_pfnVectors, .-g_pfnVectors - - -g_pfnVectors: - .word _estack - .word Reset_Handler - .word NMI_Handler - .word HardFault_Handler - .word MemManage_Handler - .word BusFault_Handler - .word UsageFault_Handler - .word 0 - .word 0 - .word 0 - .word 0 - .word SVC_Handler - .word DebugMon_Handler - .word 0 - .word PendSV_Handler - .word SysTick_Handler - .word WWDG_IRQHandler - .word PVD_IRQHandler - .word TAMPER_STAMP_IRQHandler - .word RTC_WKUP_IRQHandler - .word FLASH_IRQHandler - .word RCC_IRQHandler - .word EXTI0_IRQHandler - .word EXTI1_IRQHandler - .word EXTI2_IRQHandler - .word EXTI3_IRQHandler - .word EXTI4_IRQHandler - .word DMA1_Channel1_IRQHandler - .word DMA1_Channel2_IRQHandler - .word DMA1_Channel3_IRQHandler - .word DMA1_Channel4_IRQHandler - .word DMA1_Channel5_IRQHandler - .word DMA1_Channel6_IRQHandler - .word DMA1_Channel7_IRQHandler - .word ADC1_IRQHandler - .word USB_HP_IRQHandler - .word USB_LP_IRQHandler - .word DAC_IRQHandler - .word COMP_IRQHandler - .word EXTI9_5_IRQHandler - .word LCD_IRQHandler - .word TIM9_IRQHandler - .word TIM10_IRQHandler - .word TIM11_IRQHandler - .word TIM2_IRQHandler - .word TIM3_IRQHandler - .word TIM4_IRQHandler - .word I2C1_EV_IRQHandler - .word I2C1_ER_IRQHandler - .word I2C2_EV_IRQHandler - .word I2C2_ER_IRQHandler - .word SPI1_IRQHandler - .word SPI2_IRQHandler - .word USART1_IRQHandler - .word USART2_IRQHandler - .word USART3_IRQHandler - .word EXTI15_10_IRQHandler - .word RTC_Alarm_IRQHandler - .word USB_FS_WKUP_IRQHandler - .word TIM6_IRQHandler - .word TIM7_IRQHandler - .word 0 - .word 0 - .word 0 - .word 0 - .word 0 - .word BootRAM /* @0x108. This is for boot in RAM mode for - STM32L15x ULtra Low Power Medium-density devices. */ - -/******************************************************************************* -* -* Provide weak aliases for each Exception handler to the Default_Handler. -* As they are weak aliases, any function with the same name will override -* this definition. -* -*******************************************************************************/ - - .weak NMI_Handler - .thumb_set NMI_Handler,Default_Handler - - .weak HardFault_Handler - .thumb_set HardFault_Handler,Default_Handler - - .weak MemManage_Handler - .thumb_set MemManage_Handler,Default_Handler - - .weak BusFault_Handler - .thumb_set BusFault_Handler,Default_Handler - - .weak UsageFault_Handler - .thumb_set UsageFault_Handler,Default_Handler - - .weak SVC_Handler - .thumb_set SVC_Handler,Default_Handler - - .weak DebugMon_Handler - .thumb_set DebugMon_Handler,Default_Handler - - .weak PendSV_Handler - .thumb_set PendSV_Handler,Default_Handler - - .weak SysTick_Handler - .thumb_set SysTick_Handler,Default_Handler - - .weak WWDG_IRQHandler - .thumb_set WWDG_IRQHandler,Default_Handler - - .weak PVD_IRQHandler - .thumb_set PVD_IRQHandler,Default_Handler - - .weak TAMPER_STAMP_IRQHandler - .thumb_set TAMPER_STAMP_IRQHandler,Default_Handler - - .weak RTC_WKUP_IRQHandler - .thumb_set RTC_WKUP_IRQHandler,Default_Handler - - .weak FLASH_IRQHandler - .thumb_set FLASH_IRQHandler,Default_Handler - - .weak RCC_IRQHandler - .thumb_set RCC_IRQHandler,Default_Handler - - .weak EXTI0_IRQHandler - .thumb_set EXTI0_IRQHandler,Default_Handler - - .weak EXTI1_IRQHandler - .thumb_set EXTI1_IRQHandler,Default_Handler - - .weak EXTI2_IRQHandler - .thumb_set EXTI2_IRQHandler,Default_Handler - - .weak EXTI3_IRQHandler - .thumb_set EXTI3_IRQHandler,Default_Handler - - .weak EXTI4_IRQHandler - .thumb_set EXTI4_IRQHandler,Default_Handler - - .weak DMA1_Channel1_IRQHandler - .thumb_set DMA1_Channel1_IRQHandler,Default_Handler - - .weak DMA1_Channel2_IRQHandler - .thumb_set DMA1_Channel2_IRQHandler,Default_Handler - - .weak DMA1_Channel3_IRQHandler - .thumb_set DMA1_Channel3_IRQHandler,Default_Handler - - .weak DMA1_Channel4_IRQHandler - .thumb_set DMA1_Channel4_IRQHandler,Default_Handler - - .weak DMA1_Channel5_IRQHandler - .thumb_set DMA1_Channel5_IRQHandler,Default_Handler - - .weak DMA1_Channel6_IRQHandler - .thumb_set DMA1_Channel6_IRQHandler,Default_Handler - - .weak DMA1_Channel7_IRQHandler - .thumb_set DMA1_Channel7_IRQHandler,Default_Handler - - .weak ADC1_IRQHandler - .thumb_set ADC1_IRQHandler,Default_Handler - - .weak USB_HP_IRQHandler - .thumb_set USB_HP_IRQHandler,Default_Handler - - .weak USB_LP_IRQHandler - .thumb_set USB_LP_IRQHandler,Default_Handler - - .weak DAC_IRQHandler - .thumb_set DAC_IRQHandler,Default_Handler - - .weak COMP_IRQHandler - .thumb_set COMP_IRQHandler,Default_Handler - - .weak EXTI9_5_IRQHandler - .thumb_set EXTI9_5_IRQHandler,Default_Handler - - .weak LCD_IRQHandler - .thumb_set LCD_IRQHandler,Default_Handler - - .weak TIM9_IRQHandler - .thumb_set TIM9_IRQHandler,Default_Handler - - .weak TIM10_IRQHandler - .thumb_set TIM10_IRQHandler,Default_Handler - - .weak TIM11_IRQHandler - .thumb_set TIM11_IRQHandler,Default_Handler - - .weak TIM2_IRQHandler - .thumb_set TIM2_IRQHandler,Default_Handler - - .weak TIM3_IRQHandler - .thumb_set TIM3_IRQHandler,Default_Handler - - .weak TIM4_IRQHandler - .thumb_set TIM4_IRQHandler,Default_Handler - - .weak I2C1_EV_IRQHandler - .thumb_set I2C1_EV_IRQHandler,Default_Handler - - .weak I2C1_ER_IRQHandler - .thumb_set I2C1_ER_IRQHandler,Default_Handler - - .weak I2C2_EV_IRQHandler - .thumb_set I2C2_EV_IRQHandler,Default_Handler - - .weak I2C2_ER_IRQHandler - .thumb_set I2C2_ER_IRQHandler,Default_Handler - - .weak SPI1_IRQHandler - .thumb_set SPI1_IRQHandler,Default_Handler - - .weak SPI2_IRQHandler - .thumb_set SPI2_IRQHandler,Default_Handler - - .weak USART1_IRQHandler - .thumb_set USART1_IRQHandler,Default_Handler - - .weak USART2_IRQHandler - .thumb_set USART2_IRQHandler,Default_Handler - - .weak USART3_IRQHandler - .thumb_set USART3_IRQHandler,Default_Handler - - .weak EXTI15_10_IRQHandler - .thumb_set EXTI15_10_IRQHandler,Default_Handler - - .weak RTC_Alarm_IRQHandler - .thumb_set RTC_Alarm_IRQHandler,Default_Handler - - .weak USB_FS_WKUP_IRQHandler - .thumb_set USB_FS_WKUP_IRQHandler,Default_Handler - - .weak TIM6_IRQHandler - .thumb_set TIM6_IRQHandler,Default_Handler - - .weak TIM7_IRQHandler - .thumb_set TIM7_IRQHandler,Default_Handler - -/******************** (C) COPYRIGHT 2010 STMicroelectronics *****END OF FILE***/ - diff --git a/example/dac/stm32_flash.ld b/example/dac/stm32_flash.ld deleted file mode 100644 index 146b16e..0000000 --- a/example/dac/stm32_flash.ld +++ /dev/null @@ -1,173 +0,0 @@ -/* -***************************************************************************** -** -** File : stm32_flash.ld -** -** Abstract : Linker script for STM32L152RB Device with -** 128KByte FLASH, 16KByte RAM -** -** Set heap size, stack size and stack location according -** to application requirements. -** -** Set memory bank area and size if external memory is used. -** -** Target : STMicroelectronics STM32 -** -** Environment : Atollic TrueSTUDIO(R) -** -** Distribution: The file is distributed “as is,” without any warranty -** of any kind. -** -** (c)Copyright Atollic AB. -** You may use this file as-is or modify it according to the needs of your -** project. Distribution of this file (unmodified or modified) is not -** permitted. Atollic AB permit registered Atollic TrueSTUDIO(R) users the -** rights to distribute the assembled, compiled & linked contents of this -** file as part of an application binary file, provided that it is built -** using the Atollic TrueSTUDIO(R) toolchain. -** -***************************************************************************** -*/ - -/* Entry Point */ -ENTRY(Reset_Handler) - -/* Highest address of the user mode stack */ -_estack = 0x20004000; /* end of 16K RAM */ - -/* Generate a link error if heap and stack don't fit into RAM */ -_Min_Heap_Size = 0; /* required amount of heap */ -_Min_Stack_Size = 0x80; /* required amount of stack */ - -/* Specify the memory areas */ -MEMORY -{ - FLASH (rx) : ORIGIN = 0x08000000, LENGTH = 128K - RAM (xrw) : ORIGIN = 0x20000000, LENGTH = 16K - MEMORY_B1 (rx) : ORIGIN = 0x60000000, LENGTH = 0K - RW_EEPROM (rw) : ORIGIN = 0x08080000, LENGTH = 32 -} - -/* Define output sections */ -SECTIONS -{ - /* The startup code goes first into FLASH */ - .isr_vector : - { - . = ALIGN(4); - KEEP(*(.isr_vector)) /* Startup code */ - . = ALIGN(4); - } >FLASH - - /* The program code and other data goes into FLASH */ - .text : - { - . = ALIGN(4); - *(.text) /* .text sections (code) */ - *(.text*) /* .text* sections (code) */ - *(.rodata) /* .rodata sections (constants, strings, etc.) */ - *(.rodata*) /* .rodata* sections (constants, strings, etc.) */ - *(.glue_7) /* glue arm to thumb code */ - *(.glue_7t) /* glue thumb to arm code */ - *(.eh_frame) - - KEEP (*(.init)) - KEEP (*(.fini)) - - . = ALIGN(4); - _etext = .; /* define a global symbols at end of code */ - } >FLASH - - - .ARM.extab : { *(.ARM.extab* .gnu.linkonce.armextab.*) } >FLASH - .ARM : { - __exidx_start = .; - *(.ARM.exidx*) - __exidx_end = .; - } >FLASH - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array*)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >FLASH - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT(.init_array.*))) - KEEP (*(.init_array*)) - PROVIDE_HIDDEN (__init_array_end = .); - } >FLASH - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(.fini_array*)) - KEEP (*(SORT(.fini_array.*))) - PROVIDE_HIDDEN (__fini_array_end = .); - } >FLASH - - /* used by the startup to initialize data */ - _sidata = .; - - /* Initialized data sections goes into RAM, load LMA copy after code */ - .data : AT ( _sidata ) - { - . = ALIGN(4); - _sdata = .; /* create a global symbol at data start */ - *(.data) /* .data sections */ - *(.data*) /* .data* sections */ - - . = ALIGN(4); - _edata = .; /* define a global symbol at data end */ - } >RAM - - /* Uninitialized data section */ - . = ALIGN(4); - .bss : - { - /* This is used by the startup in order to initialize the .bss secion */ - _sbss = .; /* define a global symbol at bss start */ - __bss_start__ = _sbss; - *(.bss) - *(.bss*) - *(COMMON) - - . = ALIGN(4); - _ebss = .; /* define a global symbol at bss end */ - __bss_end__ = _ebss; - } >RAM - - /* User_heap_stack section, used to check that there is enough RAM left */ - ._user_heap_stack : - { - . = ALIGN(4); - PROVIDE ( end = . ); - PROVIDE ( _end = . ); - . = . + _Min_Heap_Size; - . = . + _Min_Stack_Size; - . = ALIGN(4); - } >RAM - - /* MEMORY_bank1 section, code must be located here explicitly */ - /* Example: extern int foo(void) __attribute__ ((section (".mb1text"))); */ - .memory_b1_text : - { - *(.mb1text) /* .mb1text sections (code) */ - *(.mb1text*) /* .mb1text* sections (code) */ - *(.mb1rodata) /* read-only data (constants) */ - *(.mb1rodata*) - } >MEMORY_B1 - - /* Remove information from the standard libraries */ - /DISCARD/ : - { - libc.a ( * ) - libm.a ( * ) - libgcc.a ( * ) - } - - .ARM.attributes 0 : { *(.ARM.attributes) } - - .DataFlash (NOLOAD): {*(.DataFlash)} >RW_EEPROM -} diff --git a/example/dac/system_stm32l1xx.c b/example/dac/system_stm32l1xx.c deleted file mode 100644 index 6deab32..0000000 --- a/example/dac/system_stm32l1xx.c +++ /dev/null @@ -1,367 +0,0 @@ -/** - ****************************************************************************** - * @file system_stm32l1xx.c - * @author MCD Application Team - * @version V1.0.0 - * @date 2-June-2011 - * @brief CMSIS Cortex-M3 Device Peripheral Access Layer System Source File. - * This file contains the system clock configuration for STM32L1xx Ultra - * Low Medium-density devices, and is generated by the clock configuration - * tool "STM32L1xx_Clock_Configuration_V1.0.0.xls". - * - * 1. This file provides two functions and one global variable to be called from - * user application: - * - SystemInit(): Setups the system clock (System clock source, PLL Multiplier - * and Divider factors, AHB/APBx prescalers and Flash settings), - * depending on the configuration made in the clock xls tool. - * This function is called at startup just after reset and - * before branch to main program. This call is made inside - * the "startup_stm32l1xx_md.s" file. - * - * - SystemCoreClock variable: Contains the core clock (HCLK), it can be used - * by the user application to setup the SysTick - * timer or configure other parameters. - * - * - SystemCoreClockUpdate(): Updates the variable SystemCoreClock and must - * be called whenever the core clock is changed - * during program execution. - * - * 2. After each device reset the MSI (2.1 MHz Range) is used as system clock source. - * Then SystemInit() function is called, in "startup_stm32l1xx_md.s" file, to - * configure the system clock before to branch to main program. - * - * 3. If the system clock source selected by user fails to startup, the SystemInit() - * function will do nothing and MSI still used as system clock source. User can - * add some code to deal with this issue inside the SetSysClock() function. - * - * 4. The default value of HSE crystal is set to 8MHz, refer to "HSE_VALUE" define - * in "stm32l1xx.h" file. When HSE is used as system clock source, directly or - * through PLL, and you are using different crystal you have to adapt the HSE - * value to your own configuration. - * - * 5. This file configures the system clock as follows: - *============================================================================= - * System Clock Configuration - *============================================================================= - * System clock source | HSI - *----------------------------------------------------------------------------- - * SYSCLK | 16000000 Hz - *----------------------------------------------------------------------------- - * HCLK | 16000000 Hz - *----------------------------------------------------------------------------- - * AHB Prescaler | 1 - *----------------------------------------------------------------------------- - * APB1 Prescaler | 1 - *----------------------------------------------------------------------------- - * APB2 Prescaler | 1 - *----------------------------------------------------------------------------- - * HSE Frequency | 8000000 Hz - *----------------------------------------------------------------------------- - * PLL DIV | Not Used - *----------------------------------------------------------------------------- - * PLL MUL | Not Used - *----------------------------------------------------------------------------- - * VDD | 3.3 V - *----------------------------------------------------------------------------- - * Vcore | 1.8 V (Range 1) - *----------------------------------------------------------------------------- - * Flash Latency | 0 WS - *----------------------------------------------------------------------------- - * Require 48MHz for USB clock | Disabled - *----------------------------------------------------------------------------- - *============================================================================= - ****************************************************************************** - * @attention - * - * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS - * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE - * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY - * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING - * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE - * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. - * - *

© COPYRIGHT 2010 STMicroelectronics

- ****************************************************************************** - */ - -/** @addtogroup CMSIS - * @{ - */ - -/** @addtogroup stm32l1xx_system - * @{ - */ - -/** @addtogroup STM32L1xx_System_Private_Includes - * @{ - */ - -#include "stm32l1xx.h" - -/** - * @} - */ - -/** @addtogroup STM32L1xx_System_Private_TypesDefinitions - * @{ - */ - -/** - * @} - */ - -/** @addtogroup STM32L1xx_System_Private_Defines - * @{ - */ -/*!< Uncomment the following line if you need to relocate your vector Table in - Internal SRAM. */ -/* #define VECT_TAB_SRAM */ -#define VECT_TAB_OFFSET 0x0 /*!< Vector Table base offset field. - This value must be a multiple of 0x200. */ -/** - * @} - */ - -/** @addtogroup STM32L1xx_System_Private_Macros - * @{ - */ - -/** - * @} - */ - -/** @addtogroup STM32L1xx_System_Private_Variables - * @{ - */ -uint32_t SystemCoreClock = 16000000; -__I uint8_t PLLMulTable[9] = {3, 4, 6, 8, 12, 16, 24, 32, 48}; -__I uint8_t AHBPrescTable[16] = {0, 0, 0, 0, 0, 0, 0, 0, 1, 2, 3, 4, 6, 7, 8, 9}; - -/** - * @} - */ - -/** @addtogroup STM32L1xx_System_Private_FunctionPrototypes - * @{ - */ - -static void SetSysClock(void); - -/** - * @} - */ - -/** @addtogroup STM32L1xx_System_Private_Functions - * @{ - */ - -/** - * @brief Setup the microcontroller system. - * Initialize the Embedded Flash Interface, the PLL and update the - * SystemCoreClock variable. - * @param None - * @retval None - */ -void SystemInit (void) -{ - /*!< Set MSION bit */ - RCC->CR |= (uint32_t)0x00000100; - - /*!< Reset SW[1:0], HPRE[3:0], PPRE1[2:0], PPRE2[2:0], MCOSEL[2:0] and MCOPRE[2:0] bits */ - RCC->CFGR &= (uint32_t)0x88FFC00C; - - /*!< Reset HSION, HSEON, CSSON and PLLON bits */ - RCC->CR &= (uint32_t)0xEEFEFFFE; - - /*!< Reset HSEBYP bit */ - RCC->CR &= (uint32_t)0xFFFBFFFF; - - /*!< Reset PLLSRC, PLLMUL[3:0] and PLLDIV[1:0] bits */ - RCC->CFGR &= (uint32_t)0xFF02FFFF; - - /*!< Disable all interrupts */ - RCC->CIR = 0x00000000; - - /* Configure the System clock frequency, AHB/APBx prescalers and Flash settings */ - SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif -} - -/** - * @brief Update SystemCoreClock according to Clock Register Values - * @note - The system frequency computed by this function is not the real - * frequency in the chip. It is calculated based on the predefined - * constant and the selected clock source: - * - * - If SYSCLK source is MSI, SystemCoreClock will contain the MSI - * value as defined by the MSI range. - * - * - If SYSCLK source is HSI, SystemCoreClock will contain the HSI_VALUE(*) - * - * - If SYSCLK source is HSE, SystemCoreClock will contain the HSE_VALUE(**) - * - * - If SYSCLK source is PLL, SystemCoreClock will contain the HSE_VALUE(**) - * or HSI_VALUE(*) multiplied/divided by the PLL factors. - * - * (*) HSI_VALUE is a constant defined in stm32l1xx.h file (default value - * 16 MHz) but the real value may vary depending on the variations - * in voltage and temperature. - * - * (**) HSE_VALUE is a constant defined in stm32l1xx.h file (default value - * 8 MHz), user has to ensure that HSE_VALUE is same as the real - * frequency of the crystal used. Otherwise, this function may - * have wrong result. - * - * - The result of this function could be not correct when using fractional - * value for HSE crystal. - * @param None - * @retval None - */ -void SystemCoreClockUpdate (void) -{ - uint32_t tmp = 0, pllmul = 0, plldiv = 0, pllsource = 0, msirange = 0; - - /* Get SYSCLK source -------------------------------------------------------*/ - tmp = RCC->CFGR & RCC_CFGR_SWS; - - switch (tmp) - { - case 0x00: /* MSI used as system clock */ - msirange = (RCC->ICSCR & RCC_ICSCR_MSIRANGE) >> 13; - SystemCoreClock = (32768 * (1 << (msirange + 1))); - break; - case 0x04: /* HSI used as system clock */ - SystemCoreClock = HSI_VALUE; - break; - case 0x08: /* HSE used as system clock */ - SystemCoreClock = HSE_VALUE; - break; - case 0x0C: /* PLL used as system clock */ - /* Get PLL clock source and multiplication factor ----------------------*/ - pllmul = RCC->CFGR & RCC_CFGR_PLLMUL; - plldiv = RCC->CFGR & RCC_CFGR_PLLDIV; - pllmul = PLLMulTable[(pllmul >> 18)]; - plldiv = (plldiv >> 22) + 1; - - pllsource = RCC->CFGR & RCC_CFGR_PLLSRC; - - if (pllsource == 0x00) - { - /* HSI oscillator clock selected as PLL clock entry */ - SystemCoreClock = (((HSI_VALUE) * pllmul) / plldiv); - } - else - { - /* HSE selected as PLL clock entry */ - SystemCoreClock = (((HSE_VALUE) * pllmul) / plldiv); - } - break; - default: /* MSI used as system clock */ - msirange = (RCC->ICSCR & RCC_ICSCR_MSIRANGE) >> 13; - SystemCoreClock = (32768 * (1 << (msirange + 1))); - break; - } - /* Compute HCLK clock frequency --------------------------------------------*/ - /* Get HCLK prescaler */ - tmp = AHBPrescTable[((RCC->CFGR & RCC_CFGR_HPRE) >> 4)]; - /* HCLK clock frequency */ - SystemCoreClock >>= tmp; -} - -/** - * @brief Configures the System clock frequency, AHB/APBx prescalers and Flash - * settings. - * @note This function should be called only once the RCC clock configuration - * is reset to the default reset state (done in SystemInit() function). - * @param None - * @retval None - */ -static void SetSysClock(void) -{ - __IO uint32_t StartUpCounter = 0, HSIStatus = 0; - - /* SYSCLK, HCLK, PCLK2 and PCLK1 configuration ---------------------------*/ - /* Enable HSI */ - RCC->CR |= ((uint32_t)RCC_CR_HSION); - - /* Wait till HSI is ready and if Time out is reached exit */ - do - { - HSIStatus = RCC->CR & RCC_CR_HSIRDY; - } while((HSIStatus == 0) && (StartUpCounter != HSI_STARTUP_TIMEOUT)); - - if ((RCC->CR & RCC_CR_HSIRDY) != RESET) - { - HSIStatus = (uint32_t)0x01; - } - else - { - HSIStatus = (uint32_t)0x00; - } - - if (HSIStatus == (uint32_t)0x01) - { - /* Flash 0 wait state */ - FLASH->ACR &= ~FLASH_ACR_LATENCY; - - /* Disable Prefetch Buffer */ - FLASH->ACR &= ~FLASH_ACR_PRFTEN; - - /* Disable 64-bit access */ - FLASH->ACR &= ~FLASH_ACR_ACC64; - - - /* Power enable */ - RCC->APB1ENR |= RCC_APB1ENR_PWREN; - - /* Select the Voltage Range 1 (1.8 V) */ - PWR->CR = PWR_CR_VOS_0; - - - /* Wait Until the Voltage Regulator is ready */ - while((PWR->CSR & PWR_CSR_VOSF) != RESET) - { - } - - /* HCLK = SYSCLK /1*/ - RCC->CFGR |= (uint32_t)RCC_CFGR_HPRE_DIV1; - /* PCLK2 = HCLK /1*/ - RCC->CFGR |= (uint32_t)RCC_CFGR_PPRE2_DIV1; - - /* PCLK1 = HCLK /1*/ - RCC->CFGR |= (uint32_t)RCC_CFGR_PPRE1_DIV1; - - /* Select HSI as system clock source */ - RCC->CFGR &= (uint32_t)((uint32_t)~(RCC_CFGR_SW)); - RCC->CFGR |= (uint32_t)RCC_CFGR_SW_HSI; - - /* Wait till HSI is used as system clock source */ - while ((RCC->CFGR & (uint32_t)RCC_CFGR_SWS) != (uint32_t)RCC_CFGR_SWS_HSI) - { - } - } - else - { - /* If HSI fails to start-up, the application will have wrong clock - configuration. User can add here some code to deal with this error */ - } -} - -/** - * @} - */ - -/** - * @} - */ - -/** - * @} - */ - -/******************* (C) COPYRIGHT 2010 STMicroelectronics *****END OF FILE****/ diff --git a/example/lcd/lcd.elf b/example/lcd/lcd.elf new file mode 100644 index 0000000000000000000000000000000000000000..c14e2441ff00bd2145a4dc1d293c5f467daa6344 GIT binary patch literal 42705 zcmeHMeRLbum4Bm=KVuRlHjC{B7|Q_+`KaxLZYa=58b?mRMy?$`Y{FWytXPU2Tao4B z^b04U-NI?Q4S_CA%18IKd!V~%93VXnn;aVwTIdILwq*}-mtFUCX@Y4^$BOL8j*a(s z-^@tkz@FWI_nbH9jOPCCz3;wzfA_sNgx$6|2D-&Bt_Deq{{I7vuRc_!|`9Id`Xv!Y46W(P^2z1%DSrPoj)Q`$NT+iB8k{;f7C4M9i<0k(? zKjyoD_K@Csew_3k+~6g?)D?HSylup1~RNqZy}_A;$_&UWLb#NytFx5CQ~>`U2^#o%m( zcac*nW7_R=72YbyO#2KZxs0SLNV!~E1u2h9H_f5i_6l!45Te_ecHP_}XN7kOVwBAJ zrq(0Al8!$xs~E8s%_&|xkB|*1i%SwDJ^n2G^!S$`QEa9qW*3z~M&=iwE8x2Gkgnp= zA7|0ukm6koL{&0vbas(b;d#6>>zew_f;#U&os5tBdAj1)A?X#LgrryeQ%Kp|?`23i zT>3F2J{3(yp1)t%;HKCut;&YToWn^n1#Zio3b(>~^dQ8_8$e znzuXp-Q%o^w2hE#)uV0ES~gU9jP>swyscuncg?$%6~o#O2Hc4vzH2JiuT42B^Ny;T zP0q)!A{Q?@bx|(Ey-e1Y$XE?*Un0NtH%l)pXFU4csWJU`)<-+QTK^>ag*e-DO1{er5n$Fg1Hk2-9cWOI%0v0Yi;$L$L%{kXklLCqhxkG4Is zAamKN_d<5+83Xfdfi0O1WKjoP<2L8Q46S-=W>&p5BddP2?X%WQlCEXWq^pf*W5_%s zQ%_l$dTVBOJ+nPmW@gbZ$jqW&keNk4+IHbjmNlDHb&l}VGji)3CEW`+Vnzg8^+JxU zdTS=6r>=82``H5ERnE-QMfYY4Vv0HNO!?J6f9a{Yt5ebjX&t-$zg{XHlFD;deG{jMtVuFk`Qe%MC!@#YZ|oTi zzD%Q*j{Rhk`J5y3m%cc6Ys!XErPuLMPCm*>*}i&IPDb%A_{rpek50O)CURIgX8OY! zl31DKEXS-ZbN_KpDx1G_-`waqI?dUT;~1TZ@fm(5dXclbhtU}=RsOcvQPJ$5Jah8A z182qNSLqz~C8LFZJIGeJZjfHE9VjT54@ghipUQfYX@~juhla0{fd-~6pP{pVZW?bV z?a$Lp`yIFblK*~=<{EKe*4wzm6pV2*Ejc}Z>8JBKgOw*&f1s(XeD9#$`xxH1hg0@S zoZpqK;(?K@{yUG_yw`e{rKIbnTQ9uCZk|4)pssu7k58~An?~?nsr6|r7iV)0Tdj_; za%pUzXT#aQS^k~NlUmkghyUR6CoWG;WL;ibR+M66qlC15kYH1aQqoxIwPfE1$@FO< z4Sa0m4Et$vdNi$wSVHJwO)41@Cco?+TPp= zDYtdz8>u%pzWm$CbF3WiP5Eh$<5o?8f>(9`Yov?AgYiDg~-8CodTvs@Y{u_Q*oyg_7yxH8e zPCnt_x_R(p`c3}}!&*g`a`MB=z0yrSP0p3aq;k|M~En2?r`e(Bl$shr`Th2cGWtLqK_ z&%)BWy7BBRiv5Ov1glZYiPr8wXV10bxCGy#8(G3_nX>YXFjXVE1{SsnByZ1oSa^yqI@ye1F-*YXSkuScLn_Jb8 zDpQ=}cDpN8<|4UJg;eP{L1Uyc2X+^WGOhbEe;PgM0N0hTq)+6qQ+p)V;@=v!h27zr zaT~og{olpcxubEZrYynEwOtx143}$P#n<@N;l1J0VJWf^-{$4&BDK(8$>+j3<5&HA z{ipnLfab=0>gj~Nyx1SDrAQRzK)4X`E{~9XQ({0i?VA$I)3PD)}EOBeb;^CUou!nn4Z4RunF%NaW2rFubx~dkCa){Q4UfF{S`e#;)?_`4mU((Od$&zqgqQHjTy|V=S7BEE0x zquAS1AGHzY3?&DgrT&9hcVB^>IY;@LQm1S(oxRLi8ZC}SYxfKy^5^khIRLGcAC?YD zYpGi=Pd?vc8(EfQ8LMFbr*e24iecJmjr%>BJwt1zHoa!_803>` z^o%p7z6a>tVfv_3w=T%dmmCS2R!LQ-^5uM$axcZ4e#^M1MOLR4$rAS}ffw~cpBFsn zU%lYMs((7n@Ha`O2J+MRnqKG!eR8agYWuj}k9vj;J)Ld(jEBOqRv;Iw%*9`2vX+DE zbGX;x-o#9wW~>mVzIM@5B~=y9NE7bFR#o!jZI6Ge@Z;RF*`5raz9<(hFIn-WKe*g} zLberzs%GrTNBvKPU%&Xe^HA}pzI4|08b1wv$!~vtIPpa(6X`5{nM0Sf=zas88J|4& zBktZBns@m_PlaE*xVurRzB)OuVG;Oqd|r>4lqjxr**0=~Dc$Z+31@up*C?Hv7M~XQ5YG6N^0|sFbCpIAXTe+YPa}|!iR9ir?ivT&bibXEA2$i z!0zMf)KxOyCH>q7yC9>158*s%rO8>r(5J!=b~5dHCOMbQFilRsA2NHVrPHGg#He!_ z-R~{ul{&SU&q%RnH{kpC9t9t8j46uG>&26`G7p<%9c-K(!Izeo&9lF-kBU0jwMDh; z8TLK4fz1>#*5rPgm27IsYk~i))GE!gBSm)h;BfKombcggY&c7{XXh3;ij_)=iA%D{ zcDl23a`S3c^|MMvU8Fk@>rnudb!%>2U0qpKwWhLqb>+Z4oBj2r*0T ziN!tfxH? z53n`*Z(DCKTNCWru_M$Co4c`oO&}hRMcVq}p0v z`#^rgrg@Q#?mKZKR@Br#3%@E8;7LAoEyYc9n%8gOS8oD5O+R|_S`FJje}}PQB%B9a zhMRJA;3jO1*YzA@A^g#3US>QKU-483%jT@aE64iG#Gj7!8HgtxTbZKqS)@*1GP-G< z*Ul#9iECU6|AloC1o$Yo;Kv4uYTr4M}CCqd4pv$W29FoHuW#9p$zZ8D}_$cb7zEZv40q(QZ zcNF;7h`-FR4*`D-{WSCaIq>%}o_M73?*N~Lz0|$p*iy&ynR3GL zcLFzKeluPr@H^m#);q<&o&166TqNuRzSH9W5bzC{&&;nA_^2h{uK<6|lFyUCe?Waj zM!X*Y=OMph10Msv)8hY2VA>CO;LNhKGZ5a-Vahtg{{!H9tY@=7Q@~$N^KECdz(2I) zkN+`Z^uEM!ti_4>Yk+@=%!vO4@E4IE!t;8b0hX{I{%qKf0N-X=U%vuAi~7uX?*Z=wHu?Jt@Fe)A zcMIh^4~$EpA6k#uXygUNUk^<7Yk=Rd<wZK>}kz#kw#)BktC zEWLki>^(MA1R~vQf^0>cOKe3u+twQo1UuJMSFT;hdgD9RR(G|wM|y)jUkJr^ zwRQ#D;jOEy`ukfuqLH2jiYpzW562eRW5FPBLtR~cU)PpETUUs=8$9k!o`zVcH>fKb z?r&_}*c}hWnzp#_sqr+|_q2ytqpG%UYTB&sXy+mVkeG4p3WcI+M~WAUMWW%xP;V$s zjE0IU;6c=`P$0H>OGBu;J<{FbiNtlc#!#T$*B6iW#cS|cPU_Ze z{L`Frs8@ZK2%EQ9KzdfSt0&l5*Ffpe+^r%0nNOpenw!+RyNxj*kEd~eAQJcX#5Of; zY>EeB@q2YG57HD0_QfLcT}``s008gZGjk{ zrMAx9)YMuN>5X;;b~WK|r*568bhlbV^hXx2g_^y&+vqhK%@;qf11S*4MiP|09#?0g zFBWR00DMB4m`7_0#j##`&GCA>n|k_UL4z+&9WAL$yry8Rr>m<8oP@gJc6VqO?SWujsB^!_E@3>t`>%=%52K^~hT9pRc-(Ynp}2Nep-Ygll`w{H97&2R2X6vSI>6xK>_NWRt@ b`nq~UzV4bneGwXVgIP1JO_uXLWaRPRmRyJz literal 0 HcmV?d00001 diff --git a/example/libstm32l_discovery/build/libstm32l_discovery.a b/example/libstm32l_discovery/build/libstm32l_discovery.a new file mode 100644 index 0000000000000000000000000000000000000000..4dfbfdb4499f9a551c452837430c35421c13b39b GIT binary patch literal 63734 zcmeIb3w&I~c{hB{o|SD08Ot}~3kkZ|ATTz%7%;gs(jG~+Kte*2F*Z%TYkBc5)@4~q zV5iB;+JZ#BBn2A!f}NyJA^no{m%gBy?~B_K37n)MfmBYL_r*Z^nKX%soXgr4#(@-n z|NmUhnO#X(A8!)+(j0p<^MB@<=gj4tnP;AvGh6h*NU}ZlM|oEjW?to$<=3q&UsYaq zZDC=Vh%)~c7M7P)Tq7yrJj=5G*|ILbWxQK{%Ci2mxewfISrfSr|Jbr7ay9cT>wnkX z@Egm@cFlE`HC1=>Us={$xm!mp>pz3Lz1Ol#mngKX|G)08hb-%zbp0KcHIe%y^7Y$r ze-^Z?x8oj6Th@Oz_sFxB^`F^&t;Vu`n{F7-z*OD0msr*x+}p2%AFZ^k-?#h4OP2Lk z?iBj*-@d!?=6ZKyb28qYjQ4I|o$T&QxZPd1#kW`@qP{EMD?_c(-kYQCz1_*S$fk~{ zqHXW#jdnIPs}!xZD5p`pcuC8c@!POS+OteP3db*Q0C!@E;yL$B%A+IjJEbhlD&|0rzp_sXz#cPaP;=|i0IlTx2dZ((jLnat4a?sz45NT?!KPJ z?#)r1g_?LzyTCfveaN0{T+t*tS_wfGn4M^{2Q_$eq@yn?Ze7z@?FnqGZi(K}7wze- zzO6T!Y>4#qwk0E7J-0=Znx($$b~HhB^Z0V>m{v;YYDe;D*OuOxks&-{nyKlw+j^qC zvgq4zD>PzTGQLGeGoMDn+DTYyH*YbY!HfzvMNQZOCId=mG)8q_Z+BL#kf3Q^z55lb zqb920`Zl8|XoR|s$QI*nZbvkdBu(eCzAZbpzO6ahwHZ%n2yd&~)Yzg!GP^)^KBjCM%cx_H;-?z=n|^*Bp5L2Yq2wnWh?H7%Blup8-Uhwp_$9o_9) zHG$8PieszR;IWOPuSg1RL>iQuYOi--P~?^YoUWIUl?GoN0ONpGyy z&kWvo)d&cfjQ}Vbfn-!vUoy!WaJ$i~pkbK|nVX30*M#ITYl1?(2VBYUYeL6n)I{wq zZS^{{A}k&m5i+tXuxj>b>$-YoGTE2twN!-J9)jd&Lvwif@Z&QwQrA%3x`uVp5a~sa zw%wA1W()*T3^$>^+f>IW=`GR7=IZwLXiv{ID_M~$c|&b&bH;Ec>1(_AJ}IUK25YL@ zsyBkUrKxeFiZw~&c67Q|+7Q=H>LUntp-dfh)IKi`Kltt9>xZ14g?t{`duAC~J5xcs%zDd8E zA}rob5i;IQft%x9eCSlp1&MD+eHVr$b}Ssr##4Qg?0Uy>`eez9*AtR@bh;iBu7l1S z_3k5(r~F_E4=ZEK~W$EjPelj?V|5>Ic?P~ZCRA2WPMEOi zuCD6Mo1uvIRG4?h*rUjqcj&Jo?0Xg~A@5FK^#HBg(TgX$1!+xuOROe| z=FvQjecM{2TRKrUn$q0Y(GzXzs_9djl5Bd)t3fSuBvDTTNuiE-mwtK0tuX~bC#@$K zA{TtU#Ld?xHlu-|7bh@FQ&R{L;k6d|#~0Zj7F4{~8se60tBlrYUe}_liNTgO*KZXP zns2TV8m}scBC-4ou8VAqu1(=Op=y##n_OMkp@4a zxuLMj`c_yQ38rvR2VE$XZ6GWKTvm zS;@#GYZ;=BY81d9Uys>1&YCz=xyGpM~t4Cy_>iT14ggP zXcWSW1}nxl$;!ZZRxcW?)vy3{3b7(Io;iy_v<~j5Ivw#q$X-}wQ$?sbm&)9DqTgwe zr6#hy0lj~3YvfM9e^O~%VbP2FS|X`x%J1K|CcY)!8==Ax-=yCgiZ#iqjRcPpph&Vp zBb^CdI8~kKhC0y+K~Nh4(WIWW`}dV}olGigk@l^932(q>YH9$X@no5aw(SW$Xpz@{ zb^(wN^VtQ(cy?vH|Lp46jAysCxqf4PMXP?a1f;=~0i&%6Sql1iIwGy{kLpa36pGix zlk!oIbTq`f%(xp{TgA*3_AjmDsTL6TX!Wa_n0YakFirEcG!oE6Qs1J1h6JsqX^eWw z3^sRnZ13vsj7K^IzbLV^bLbm*^{NS(b*rg<{b~yMygJr2!IfFh=;iBHd(|vaOTBud z+213!G1}RkgmTdt(H3kKv9>kT+$ZW^GIBO=Rsd6EMq{XW@!0T$zPe3XJJ?dvr5$Wt2rsN)r%HX^FQ@G*NX2}&=Jl@>q%90`8Y?O0NJfgN0FG{=5|BNwm-S*Z zdRS69+4lPeHT7nMtXS6tNr=-UlGLI6B=v1A+w@XQc3fNiq*1kPErOeGzWM%`bn_jO z*%AG+(iudqRP&2vjq&u>4y*zgeG3-~8}T+olbJERsb8Ynu6AT9DGGdB#9PqxD+T7g z4!Qg%@?efWYg=Ie(*3st;`x(7WQ3VErz~i~l|0w~ceL<3%uy)r9U5c~NReb6d zxr;Wg#%OP>d$UjVbOOm_stw)^w52D5CFv`dlCITHv1%+y4fQvMlF`W4nkaSnjD=;Q(_Xoe(By0O~5!6(-zv8EzhRZ0^C zuY%O0uAp*ih3_drL?M7$GR@ z5NK^vQ6j)75m;wkmA#=bb9>=9RDRtxiWbi6>Funn=qTT|ZDV_PXJSS7RR(4ArY)WA zeVMfAw%&Lq<+hGUPiz9xMy$cyhIN(9s4ajTIJt@08^{A5N@3yshkD&mm`_M2TEoYA3hnhD_(a_@2$Fbo4w5$%?U7FvEcje zf;o2oS*s)fse$w*&cjt&W=k$*{_AmTs3;w-E=u2RQ?@NqJ&fE~L)C}$-4?}*M=fhX z#J~Tdc!5iNxV<6u}&~JJ}oEw!E>@nwB@cF*h(LIM1GMzsFf%FSHlg z?+aKfdbW4=MmE9kO-eteLrB)>S44VylkrV`z0n@F z#8j`vU}O_cQwi9P*nH|;*dQpbBK#$vBcZiGw!1 z#?f632U!VC|1gN<8o(zHui0?=p2P`6)H0wh%|}0=iSP@NXaqjvfi@IIQ`PUuA2LLaO|70 zrK8iF68CU;+7-n|^RHNSbj}q`M;BaCeKc@lXW--Szhud0s_w6Hww8?J$;VSM8uex_ts( z`^XUM-oCD6EQ}|ox{@_t#P%Aq+8K6Zt_oR0sm|1(*Uos>JozG|OU4~>kT=9JF z<%^%6xJKNL!>8@GIg0}o-yigA67}d@;JTanOfsEW|FSNf$jiqkYh8|_ZU@U%HP;=r zTOnul&d010`_JwB?ET+e0Du3YaNseP2hZYIqQrj|iL2(C*d0r$=Ty{jv#z6*S4T3$ zI$+(UT6NvYkTvAryR7n7arXtW)S$foGlO=?+}G`s6TK%+Y+)#W$SSl86RV$bZL|p6 z%Ze3@&MurjVi!L%I0q?xp6=1vMS|-c80ldYTk*RX?Jq%xXv3MK{Yqm{(Lrhj90B#U zV5S@uIYKf$`50cRupTL6S^((0$jfUP9Qn`3M{R&<=<}ky%VbdeWgOjgaFCS{MEv15 z3{%kxhQU{_6T#Q{qi<>jpG5^71A%q$R$9Tw!0@mxlpznnj7#5##Pe$fhCuLh)Tegi zU#2w1%YjbEJ7`^M1LV@9I@?`e)NZbUdoNrI9JPQC!@mP=fxY)2cLTh`8NPx4$QXV{cZ;?2iE!91zd07zXXl|vo6U0b>KUU`0oMl z0@nHa3Gn?!d>Z)62A+%XQ*d`GdCL*L4$Y|LHv!iJ>--$@oiknaujcxs0+VxkIu`B}nDa*zLbjuiA-C7qBe-VYfebqVd6i0Zi|T z|HE#7??VsYX2&{OZ5Zx}MQny$5$`kK(L9Vx>>J((|0?-utTgE0uQ$h{rDsCxPubOy z`TW+O&!Qj1m80iawEi@WoprwftBF-CEhPm9H6;`a#umm>v7)h$*~MTy0T(*U$1UH(BF4qRWS|f)#fAldtdRGtyItIk|f{x|oxQtiBD=UK5kHOc;fV#9i zjkRym&#%jwIpO^r=o{u`N@Kik4aq~@j@BGv?-J!{RepZ&X%ZG1cCviq$l(H>!fACE(b_`^1c| zX-wh;;RP|y01FP@^f+j3RtdO*&1Zwl?8=8j#o=E+?{UW1Kl#WA-(iHeL)yEz$LJ7M zEC1+clush7T=y6UWVH8JHploLV=}(%9)o;S=`sEZd{gN$&Vp|$J;s;t(jEiv`Fe~2 z6x^&AU0x*3xJ>%n=`r}W`;lt>G)*qsUO7IFT3AzqfpAeHx#~Ku<5%62NW{M3W#VsO5c1(dwIyIbE zgmmzx9c9(kl#rrWL)RbLffX}JXFhCuAyS*P*)A#M+4-2%>Fft+@0(G%SXkZ`3cKI< z_<0%eGfG#VDs-`eHfk5aRa4Sau>6frcV(q>;y#CW&^EmY%93g|%kS6N_!wDdQ!STY z(p$Isc0X&#r>}447~$hZv0JvzsB%VTdzM5$PV&AJW5DmzT3BT$GPz>$^v$e)2^DbwL~4{A zGgvPZX_#wZUTM)nz+(h~{L7@Df4tD6uT1~Dv=*+yEjV7#T4;_BHv&iC*ba=p8UFon z)I^D?ReuvsYp4SV{{l{rKc7cTp!1{jY zfWHZ><>w>(3pi~pdmq9%Xh3f~1fCD9tz91kru9(A8{-`ZAu-HMC%NysVmBMQM z-l?FoAZF#WkH>1T#yQr^#A@yjkraQt^(k_k^?CM*G5H}eR?1ZSVg)X-&+~%ddEVf8 z0X)R-#F+8>vNY@!RWJYO@uCQjO`?0QVHxfHmCZGN-Y^*-^;xFT;{f?48V9m14adPZ zm2rT3Q>HR+_%`~C5y<2iKsVVq@DK{#hj@MI@@lMolYahj05>--S{gVH@Gn!^#Pf#t zfSFvn-(wwe-oUYp{qnW&i{Ny>%Q~Ucn5#r_1DpM(+$tx#&fn?L6^4ajb7#cdQ-V!> z6V4c}p8B2=wlJ=Yo}}Pl-KiONFxGVD%_M9Hwp9|u%wQlC2y3l>#Yo+$iyc}a9txEs zT&BV+5w20;YZ1Ohh2M{GhYEjaq-pFaSEjYmOAU+ghFB<_*fe8kgRq^N)LGE>$Bvtn zG$v z;%ss^^@}|!<%a^S%bozFIp`f7vHyi3?ApWMDy;T1Tztq8dttankF|ZVqF<0sZOlEQ zOTRd_z|+&MLZ)GSz^lbugv_^-=H1+5j~N}sWIc9iW@jUM4ZaxcG1&9zo_#XD>>itZ zQ|Ym}jyjbdI|aU}^w?!6U>VALz8*WAV_Cx}m%fZD(j?)V^taPvv$ypxQ`*EmHhXw- z{SuBnG}rl0!i5wrg-=aduLH9OzsbPVC=TZybGF+t=VZ!tUfo$q ztBVb}v}ZjWo9#XvJ{qG1?1k{F$GOijzt{;ocZBZfhfSQ?w9L_>colOa@~UaK#{FJd z({2&FuT`4Zt#PL+I8xyC-3#hWndE&p_rK=O>dE@w2KlUr2Znz%%3<&u-&FeFHVzcvJzxLZhKit-Kwn5Q;bqd_PXGIM*XDG8ScF{BeFBdCAuU;7 zhSM7L2M|6a;$(aq!q36!{&fq&FT>@-G5wwJX(`e2KZ)>66jH|<{i*oyM0BX)crw+a zim+2z3aq~!{M{UgcGcCY^Amlg(OY8XK9MGl&D~Sp-95}9%IGa=yKfpxh_ycS zRcSjQdLE(S(H`!{uy>^GSyMu3Ns&7PG*y4;Ve;v3KQiUb7$;9s*b7Q&8)9?PfmyRh z=-aa{gnpW6z5dKlr25oFE!6D4edcr3Po0`qu`t4(vf<3HZmK$!6Z-a~up3_fjtF(R@Hed&ODoKgHypW<~mLZr`hQ>4Bo*g=M z*(R3ZpU;ezKYeOxXnkbEl*$ksR|ed(II~>O$A?c(7wZHv{|j#S^N6^?udG@yWEW=8 zPCHf7=Aui?)fMXbe%Yk$M&tb%qmfMYkQj|*cwd}#JL?GivDDqUNA|~?&ZNT*q+0kU zC|Ky={sB1Vqu>dy8rh|@(ZW`Z2!9Yej!WzkyL2AE1;9RjYuTwI)|E4cataHcT=hgw z-MQC(d@fjOjjVbSIch^q2HUw$yr(@3KRv@~4_hV6!slK)a;_cw{E6X<_*G9Po(R^> zgoMLFLgLA#;U`~7RQ<#80?^OB_BBxLBUVXCxZp`^Nb`PG@#^yH)|Y8NcU<#5toZId zv?T51l-32)PH;u+#}-Re zzj-cpak=oNgcT{ssV;@q;@_h<;~v>{^V_=TFMsN{!_VGitLrv<`KP+xf2inRJ!F+U zb>?i(zSxi5qcQ4q4-DF)^J+%im)+2)6{;DvYxad-5-tApPc`q+80X^E;Co>3(ZN?x zM)@oZLh6ho#lf9pcL%JJ&}cA7*%u>qLDeHaWj*LJ^ND&--M_`pz4j2&%shgX&fsP4 zw@y2Ct4F6zd(E{<>PDy6G4-Dyb-MZn$h_+KS7$B(VQBl z`D2ma+mS}*>&x+5NYf{%Eyb$hEIBI^I;8z=X8^Un|--q!1a1C(e{~Ua-i|Lv8mk_2UK+F3F zgcst5I{sPU<-j`r=fGTJ)AG1m?+XTh9>Px;coD+Sz_AU4ri<`VxO{~_fG~H>Yt5EB z^nVSf`ENxy7xlG7@pH#Mcg<`5{{~zQtmSvnK;Bq{4Nri6%{vxox-+u#s5||bg z)(5{9n2+~Zm+w*F>wy1A#eWTWJ8*@<-v$0Eu+ER6*~<^eg=#O4f>o!P@$5?PsIC?5 z9o=2g6=mvRS6E5@a0)TDOaI{%V(*M-9@}G_>^s@%y@Ku?Is7|5h1jxo;y&wA|@OfH1qbWJJs) zKCpCTM;sbe%WQCfH}L9_`{T0_Kl8`~V)hX*W*_zktYw@{a29g*c>e^m6T9#i$6>w3 zF4shB=+w25rZfK$k~6k9U{Rc3{V?o_*dH(U*DsFEO&83{A94zVkf^mfoPSWLcjT{9 zbB_H&#}~5}G3Q<%%Q=|}RF9=1>%;3~)#(}b`taJb!4Etbs=?o1A368h&U0EG<{ar6 znE#2n$EFS8RZm)$oC_sO`oDmnMst(*_3c~VK5F{_?Di@eRR zy9By^gOGEUbE=zB)@ePMQ>EH_!tlY{6J8qLf;rW7=X&^ssi0FvPx$PU?}Tpo`&x^) zj0>-uxQg%>L!qZbaeO^Mg1YdUh>JQO^-H`);`&#EhXf6;RAK5tyvWD2`XV1)_FDWK zAWlVJyb>9&)xwl4Ldw)-B7O-OO~YrNp%PB2FFuO`K8kpKQO-n{Nk6~7Xy$}<=2+C< zDT5Zh0RFAYxJkgGWsq_5lJV5}G}a;d6MZE>y<$7u8n`)--v<9FIH`{WtlJT${#T>o zKLP(E2F}(uywhpgt+!M+a8AS${o4h<>(k_~!Vmo!UA4dZGFe}}>gp-?)jyX_=JnOI zCyH+Zux4NIL@8F`xjMlW{3{W*RJaV`DivNy9?=&*6k3Jw0Ts4J3Z5)1w2L^eEiCl+ z6WfKhuu9klEui=U1}&&)L4!6!(PkL50!1q@Xk3}W?0$M)?BY86vZk~%!#QTJ3{)0e zxnkr!uLobv84XPP+t8~=X1wmadjHwr@W@%~zWmpn*MEWYEpl^*fdu8`xeso9eeZ9s z2kjqE+OtTXef0jb(}%wm1NU#PuKH&9TQPfO!|1de%Km0}<5>CMZS36LEq4a=8?=<7+5NB!!sQ*}Un1=5-HA|oy0hOZ zI5=y`XRGGmoS|oC%qVX@TU1yKyGPa8qAG^xx(Jo=E0A~QtFYgv?O~qLb?Lr8+xGC% zG|LL3|6t#WE@V>M!x}>t*aR>AY}>lh4Uymi^n`kV#4m{}Y6Y#{<4Zf+2B?rJ) zuM@%Ny?D_#v;HwuL>NTc8dzr5O931)?(1DILFataL%uwNB!c=r1m_=1%sa@wpL1IO zGNmydnJ_M%1~4C(Tu;MsZdzo-vmD$N@J+aXgkyX){2fT3=gv0)KL|`-#&3rIMPNNQ z=dOUqf$>;~_)ozB4J{%gR`0ka+{kM}-e;C}`F0dSs*{}KF`jd-qz(YCNc z#T#RnI6h5GmBnv`m^*a!>F3_(=z>G6jfs%4HYV`@DL+VJSvR5{xR-X5@~KA%Z*Z=gc#c$Y-PCu~{g@BIxOKcQx%@_i zBku(xb`i!^Y2k-&jGv%e1sqlB`*hma?|Cd(_lbd+SRHxuT;QR`GyRcooLXG|g*U9C zOVb~oc1`e_{9XCg7;*kORWfizY|W_yxADBC#lhiI_O!+MgQ1dC@$)U#V{e>Frv~lv zqI;auhp^gnpuc3GYuElkp2$>!lwTU){GlYB=Ul$)E4vm47w6ZF;vICkGXfHJ1RqO0 z`OASD&YbJ{eCWMHJduZvQUfPKfeVJD{M2C8SgOj&Sv>QCLsd9hqG{|edYm`xlQn1l zrS+*(o1FeP?2`St-J?}ic4hZyb5+Sbe~+=T`qV&0Al#pV^rW-H-GN$ipHB7fNUSbD z6YMM6EzX$E8%CLW0l02zt!GJs~shIMxQno|MTIe!_9YwnXEcIxhYDQII z`tGU=7Tpr6I^kH1+qv7>bKhXqs3T&e?7Ug_pId)!-G}eO_T!wz>ek1t{qrh8*}vF3 zZ%LfZv_Ch00qCcWTSL#d)Vy%7fzw8Rntc7^s&g?(b?7h2~CpcotTgRKJ~49 z+5d@7PzSU1tpp~prQkT9zE!0K2x-3lk*#k{##e@P7*;)fi+oejx3++9D*Dz#;Hy`8 zqsM#kq8|_;`G;#l)XgwNy`{c24-B^&3AH>!ln9#ix1(>(&Jbuyn^@nP2YzyKPEP#> zW=ij(4s{J&HQbNk82@4T`eHowt)&nEGp2|S!>=}Q4489w9Z­MgxrQ(x2ZUjU|l zb`gBu@Be|%wHqD(9boEH8gm`VM!hal{HNgaw539Yr-S!Hz?y#!@OlGZ3fyP#hkzdh z*81elz&t$(kAuihJMdS5wSL$G`~h#oAatLjerz>G;L!1aMf0T$(hWbj#?XvW} z9bSL<{kgUzew+AR{`+%c{gk>t?XdK@o|%X7qZmGQ{`V{Ys`84mLgP~F9$502Sf@t> ztZcl_zmxe&yg#^dXiMhECBL`hxf_r&oQnRPG35I^wBMP*Jl8Z0Wr^XEHC?8JhEOH5VU$xppP-2E-g=E?VI%TkNIX! zc)z!QN>4sAz%k)+_}HT3*+^*LUufX#5nc+X$CQsC%sSRMjxg(ux&-B!V+PN4mxIRd z=hr6v`F}_IX8()2$@_{`D^=fe{=Q{$e;fQxHo}&D44DnbwEyT*H6?koAE_O}+H)n2meyl(CjhG#;#q6}iy}+F-Pv+pR%&g_r-3FP5ecMj3@8AeV%sE=umWDoIOt~oG#yA_FrTt zAH#gliFIF0ebc-&%$}!B#>c*zY3TDJ-$Z?Owq1M{-nFUp*;U}Hm!idAoj>~Wb(N9a zi}4tU?4#eR&we)N|Lk)wXkTR?%z0WT+=U90{&6_n=iiL*E)ggB*@u75i03@*%Le9H z`vjbxXZ$t7M+|%jVcNR&JmMLIb5Y-#|0Q6~6ZM$!Q{bzCb^gu)*8(qr&-~{j9Ea0m z3eUm#IGoNu^|oDb?^FCd2jj~|yfFrGAP_?ke|Yz;=Pl$A^A-k-S&I0{Ud&SHXZ=1W zN&h8&pjf^))YCclq<-#?wTZiu=2%-kiC%u~)X&Gu-jC#swds^P?<$pX-c@1AH%?tB zMnaB@$AnFO5k}o(#kJJ1%ki za`9bH1YZ*jaXq(e=#As&Ps3k+Sro}b8sj%(1Wn2~4H-I53whU2bvlT%v=+v2*FbeT zfbiV7n+`g2BV|K={%_3~cfB%=rc@og+s9OOdMuuNIaboo9#ap%Xu|&Od}Hbe`YH3$ zFndg$j4yjkCErxW)Yrf_l`*w+ieqXJV`>62(G|SqnA(dm^>STE@ESq08ZzkTp9g6T zMT+=co+XusTX0NT4gYdDZF}2*a5bEck0RU%r)_n2B21c&A3*pH19QCPn5@Uh{~O_l z;jV$>{r(v~$Jh#me*`}Vl^0TY41PWEl?vw~+y|$}%*6=rg44FltPWd@iToM%w;mJ8 zfgd3Luk>s5s87Db6OVPtc4J++ysUiUv95CE)V~k?EZz@X8S~1fu`uRn0cT;X;Z#2N zs@|~>^TltUITx@o_UuD{%`;rScjnDa#A3w3H;IeF`|yS2#}RK8zaYjK_e{W{9Gq~S zSdid47$o1sUqk`b&WqXm?Mj|u_;;@!=njm`8M8h-hW%jj-V5-{GF4Ub*Gk+o(}f($ z(D9hnj)g=1{E%btYHtjdGRSkb1z&*`%ef%;sc9bf%+(orYZ@DA*Ri(ip2>6aT;_cd z?in`HC5*6p<^snSTnoX)u}$6=^?Qd!-E6NUBeaJmC(-CjUHo=3pz^8zRIM-gL z%aK2{ICkq+D}9M&7tg`ju8wzdh5zns*cwXXD{DyiX{H_9J#(SBBV{|mX*2Q*78Ft^ z;bjM$Alx5w#R;$2tKArF-2;kgVUs_(aPIy*FC`JtL;>y+u z$u||9@DhwYQ_%^V!B?;DMNi+Ce!!q#IUVJNYDS%K796u%07q~rZHv8KT&md8ekmcyq_f@LJ8eC`*NmlqIl zqWz9scPCdJ9Cbd{F&*U*uY4W4)A#=) zgl~e=@_5E<#E55@`vWxp9SGlV;2j8m8SdxE2h02C2y?xDmBKvDg}*?g^FIiD)WA;z z{{(o6;(s2P-(G6`E8yh@o(A5V416(gpMfiZx!$hRUl07Gf%)wv*V}b`KQPzVH9i1* zxq-R2pb_{|mA@h2K47iGvuwM7wT}No;Lic;@)|lm6;+|* z#=efW$fk~{Roxt_##U4QUb`f4x!#x!tZA)PzbMTJW%vS7{36iWghgug=G*&vdK+8o z-AG4#Q!>&U@9vVn0?k;^TOwUsqJ|i>Neb95U^cA(ptOwlI>BE(KVSY&4Bvn-dPS}{WI^x znL8dx!2~K(gd(Wi0(pBUM8)V0z@ivB<7lpm=4djWh-H)2dA^xsEhAI1CnKAjeJ1eu zd^9xkSLi3n&A3Q2PnnVR`gh7zT^YYpPKy6KLMpz#4G&yzUyo>t34TZDr+8ICCq!iSstg{%&k;x@y*Yjx?pu zRem=dI5r1p`jPJ#vpH+y7_@XL<9Yr;YU6_^cR7Sp8ddxvZ-mRfBBj5dxVL9PJ znS18_*yEv7_S~i6_V6RIqB_jKPzK5mX35X2mx6TAn(oyT@8&#K_T;%D%o4>3cKD_z zu`hf}WMO1q;EbVX6ZXin+m-|`*p|C&`%$Yn?*lIm+7-FWzCZZu=jYqM z7`%Sld)?*1+$**mwF>jz|NTL`Ecc3G#98+6;GS)q#m))jF57U_DiSHnbC*4X*j)RW z!KK*$?KtyYOVh(S(eu`|RlFZH{H5d8k|*#C+UTF0 zq>JyD-6Y@Rv{%=+#txsJUVHd-aEX)taNzLiP-SDXA)J@IApEsMg}Ezo=jMLWVqNE? zXXUI~z#4Z>^gFEa9Mp91M3ogd;W{@a*Mu)fro}gE>4R43E#C94pQ|6>dH(_0zhGN# z>D@=I5>cy_xuq|n-WJ>cHrTq2-!4oG<}T}Ct@3SHnY&Ea>V4bzYuA?qa!WTdmMyX} zw^YZ@{nHwx&0Y4;P*d8<&mEV34QG%fD<}9Q`nYxJC-Cgs#ro;*4+nZ&^ss)rP*(oX zi}A&`<6X$dyHJOBVJY5(Ai@zRom$;AdT|5%%8L?f6Q4=!JnIb4?)mTG*6@sfXd1of z;;V8Cb1%rvKe0D|T6)&B=_fupoh`_>M7N=xo@Gxv(U6~WV)b;kqm6dldDa?Um$)x6 zw@0?6?(gjf_!bB1zEgP1rdBxX@=K0994p8x64p5T*o@HhBS&NQKDz=dZ~F%EmBg#w z6979y6;DbtYlI`$!x)+J+dOKsXWg^>g!tflkC`!mn`kY}v+A^pkYI!;Tc9Whm`^URXT zTx&jzKx3&wUk@I(S(S-&8Hn|HkRiN~>hY zSu3^UtUdf(qBXfLd{J^<*ebpD$c5V)w(Z$=ZSGQz9C#nZJAlzbycZZj#Cst}4fJ-a zr#B{MB?c0+w-;=i`_Y-X!Cbs6(>T&B%nhEnCupT-fvYyhKC#2*m}8x&v3@b=oT$dA zu{Jz6S-`W&Y(B5mkL#LS)Dar3vKHXi%Y90*qR9PH^2uJ*A#8pbe6aZeht4!RnZJYN zoJfgZE0XKnlgBTJ@!2)*%XNj#i#n)9EP`aeeRTWYkM=FZDl$*q#rYf^7PKmvFD*qr z+megln`tiQHIql97h>+2yX3j*o`uqnOAS_~Q&s@F)TU8OXq!52VF%tihE<5a7M4@e*wDjtb%N5@BVM6AH9In5_!RVAg*lN(KeNh%K`j`TGS3ZqaxIr#F=9~3W07tCLfS{E>8^4`lB-HX4XI>`#w_&?9Wlv;F zq)mwT)V8-0gppOTpPsg|_an@GyVt`JH^AQk*P`%R_&*R~8GjqXKZCnb&}?yL2W@!| zD0~lm+B$XoXMp+b&BdTm{sCbAvbeTQaUV9%G5cfqj30vk1>iRo{w^^pUFZMbfFB3u zIy?E%$y+mFhb~p}Ujx2+nr{oc0Q7p`Pb+?&PJI-Z|Hv!*k;27{1f%Sfa zj{`pitlMKZ@O$x2aUFvB`7H2t2L1~0T427XjQ@M!dx77luxWdQAw}38Vc9Y_(h;tM zNiK@hy?UeVG!_bb63De(z0o8$-_giqkx_m@#Hej?{NT0`leGtr%-U>;U`zBi-x3Cl z1LoS|ol+(P#>PITgtg9#25U{ESF$oNp4E#6YjsB?*(tIrLgSgU7<5tBMYcxQCS)GF zyZEc(%m)Oji0(etg&-nxG|D>mte9QlwAvpB(L+^9bZD8aOJENUMbdVc;kE{W}itm|o)S)ZfBS{Ea=ngjZjaJ1L$I zK#P@=O034L7vI4%R(=Ohnm9iIm|@%>ZFje4G!NGe5tX+XBeChu94DrjW!BNXf4N6;D0W1lex63=I)bGGI zXur>I)cO6ZzVO=t`rlQy02fq3&d4!Z{X1xOyaSTML5^t&3Hb7V6_sRM)f|?|Jlm7eE zYpJhN?rF^-iv#b04Rc zw-RA~`=HmvU4$QmD^&8^5Pkwq%ex)nr{Hw@k0JaF+?9&|K7?O|V_h+ShTg`3Na$^? z&Gj4WD_T9Z3hQ!Mew=WPuvxE4dMk<|G4wTy0YfVy;9TGbDcDpf+wuEywzz^re{`)emvL2;3^sRnZ13vsj7K^=iTIf(T_WDV(?E1CkkHUVG}YHcGzEN~ zHlk@0EOm-!ko!{k%u|&pS*9SS5B-`l&_k)PO(OIco{l{jgtY@ zZ%GvTT7xyT`b^NJ6&U+Y!yCf<6{tnx*EYES({}j9BJ7U%-2kEuu-~fR`cRnPO33}9 zcG|(2ce9H3RTbdGyMlweW>`y*CKxDhJ6mKGLf@!4TU3ZJPQF7(oP4M6y$DvvstRGZ z68F>e#j%31)K#$KI~Na?z#?~nTQFu_#cW4t{ zDe_lYHAXFggQ=>#RG_7;5Npck3MmTogwk0ffztPkIHg4+!P1%$>x!}?9$$R6%iraC z-OJMp{M9V{x)xgIiltbqlkN9H>=`$J-$9r3|2f3MStP>@Z~az_^aIH}VqxtBYS$`fCQ3WEpxwq6`7GS`m zjYnTbP?Lmj(%+8$LOYv(nbKH37^sYkXBxf-m|S}NUTVa%9X|-S5KikS)N@#W=+4FX z9EJZOxMGF-;78y%x8wM|2mXU_TK?w|{wkcd>ph9EjSMV-Pk!3?SWnFg{{ns=@TCgV zj`lgY?<;KT2itqvZ&P3NbC*=z>MRXGZBX)60m;--uk14_QDd~TJGs3j+8IgcVO%n< zZ4EW|i4j#|{`8lr3TSa{^^L934k!zjlGsuYQhgUq8<9kBU(!<^bP8k%R)ubDf$);m zH?`gs@5L_|NlT1J_o%(e?hd{2$%|dXEoDiHROwJx)VDY`&w-!$ePnOE)12R5J@Ht4 z%{5d1K5_|_y{!5DV<%Hh1y6{xecL(q%CmiW{x8EH#Ed^B_ToGgszUgH3fCY^9@+)g zAj~s@8E#Pg4AVj|(V4yW|Hiq%6Um$C?BS`NDQpcLIGJiFz+Qz^`%5RSU|@jdt~rq( zd?++;sHCnYZ95MPTFaJTty$N}`&dS?UKm`gYXqy$OCI8yQ%MjhN*@320JMnYBKLv8 z3kLQM1XB0h>)dOX^zY>C`i6nxbRw|GeG%*P*o#%MGv&at;ifR}zIP|A8rBf(4e5li zE@-O-&hot{v3ke7urKUYHiAz{U!DgT5Ztt12)jZ$AZ#5nPTDE_xS$a?@mYbq{|qIO z@^mg}2dPqa5R)$N!_y^=GZ#@0;+}gG2lW|=&OOfFz@8fio<$Ax1WJ(0nFDizi-UDI zi+I<-oczW4j}Bhpav#QyPXzj%dlINEN2FKh9o`ez>+C5`7Y4qEoE&rK1ZNI33@i@L z$=@}wI6pKxZ4UOh@YkQ_*q?Oz1Acma9wgHD@4>2a66sOHYF&Jv_SQxnerZUt>{frydGxwxRD!!mWFz&BWO4k(s zGee`(@%&9I;j@xc85&Eq@CoeS^Bumm?aXC=fBzmlF(v)|X*@^4y*Cal!Ba=6pBk72 zsewJt-s1G>z#P0$Jo6P2=PV9BFo;uM!FPpQ;_|I@C=p++QKHlD;55y-uG9a6<06;o z0wwQ4$a8cqv2zpec@Exi=5h{lSt)W^!e8a!n<`|gTv8@;>Gb~%WFn7asa`*iJ5?S_ z#tPfncd*w`GEwsV=s#S%?K_cAQF4_}mYn(Q@85A8^8xIO;v2bBSd_UZ>UvnE^9QUe z@`nOTq2?@`H)LNLxYWAxCe;0`T}xD{g7*aXJa)Elc*$5*@0IBT`Awtw4NG#kOKQo? zhS9*Bn$hVi9~eacWt8h#)_w#VJo0T(t{}>V7RMQ@f@ah?YaFEsidxTi#mIw}%t604 zZCZa0>L|0;UG$nk)soDkY{}p~kDXmG9Nc?l`n1TO$Xz-w*f2W%;w3@OkuSkX_e=7* zQ*9pFjji-dR(oCmI-hs1*JYh!JS#~baOUKo&S#?cKrC{KCoX3`a(JoAVMZI;MRxz+ zqP|m~R%6zmNk66h$Ie#4?uQ41d8SP4bzPbG#Kiiw{ynLTwCEr!T zvtaSg@r+)a1&a{+OpLbX`TDSS%kg*j&Rw(B4iafex6-ziSgqsp+yiIV6edRkzB?ih#Ku@J`Ue*r4r0TXmmRzePoUqnIeUV8m!?nWEJ2Gd z$D6i1e@T9A+RowVffbXvTuTw}lP7f{?Ema@q)d(ePTYbmf}hd z^rc?zbWg~$a&^mt#X)hUl>O}Wvz7hv>b_MrL+sk*CXJ%ZQE}phD zJsoAfhBcXgP5$!y8uT=L+b(xmpLL_oMfQ$h|1_aT$TpRC^xHHg+VsHLW-;Er+rM3G zz`DJ@CbKiNVN#j`)A{TSDMJ=zV(KWaY&*kbeA#ve@=e9g@PC1CDs~3$Cz^_#;ULy% z4`BL!K08Aj_C<-wo+_sLHuCfpK4&a+rJj?zJur5E#_MCya=5oZqM}dC;tn2e< zz&`}m_4yybCk;FsdE>W&I({keLIZQZ&vFCb2wZL8cHp%J-Ub{u@TY)3Zs5NJzTd!) z1Ao!Lhk*|n_y@qx8JOQyz6`9_v0eo}X~gHCY&m$pbUe>J=I>7G@+||t+`!eqA2RSd z;F}EG2^iDk#N732o_W3q& zr-7db?lbT!z#j+3ewV157p4!qom|0?j+zz`|q{R8lafOY=91-uqmzd!#I_zqy* zUcUt1VZ`U5Za)XSSIJund^wDkr3$m{ZUQb=cr9=rux{@T;9bBvzxM!t!N3mzA2RSD z@DT$K1OE)TO38l__;U31I{#_lT3}s2h8>dzIkCBOOs~dnC=3o4kZ!QCc2J#U3yfVJTSM$1PkP-I2|L!i7k+J&X*1o^TPr zHnABinlrsN)r%JFY3GZmADMd5fY~&*Up9@+ zXVAQbem?v9;QHD-qg}m1G;VJ!`;$jh0BJhjTkiK_Gd3TR%FP9S-=MY{FhW+W>w+Z2 z>8%mwmQwQ7x3z4m-6pq9WXHADPa0L*)*`t1=9}-2NjKjinH{nCqPBUe`sD(R+NmkG ze;V}Gj>w&6-$LF-ybaN0W(;rYS3+%9J2HiR!r;3t(r$KfG8bzi9Ua)_(bFy4*j!@T zgmHrFGk4O*xUpOLTrVRYRQ|V={{nsR|5Eu~@VTzDlRo(WLit}&{@0cNedYg@KKNf( z{#E5yuPQVyZ*RsV>p>OQRbbZ!uk-Ks9LCs;D`RhlJo88H_gsLLjsaJ$1aZ~lJ{9)% zeio{|pN0M|j&B<}3r6|CDmFk{!ux{|TS zTI+XlFNU;y<++RV9}wTpKCyWU%-t*X8_mQ13@#Pxx|5v-X8ui)GoI(83 z@BYAk>x#k`OJn@1lrClc-5cqXYffF#`pr`(=05U<9XPP7CD$6f$lkovDh<3bf6km2 z=hzh$kGv6Z4xe`CR=OqPcdoARB(y-nsgplE{(Vbu&BZsZ;5u=uM$C4dq2X@i-XcqELSlkue=-MC2yhNm2uLV>Bkj_IMj^Z$-9$j zMBb5Jrje@vej1b)aj^UC7WPDSAJ`KS;vU;eoPvWmscp8sWFB@NeIaz=NZ|4dN9L7X zaVUVDGO+T8X^RSoQxcr;+2i?TCyy7D{R%cxXCPzGE?R`=wnAdSUIK}8KT~Bdd(TK< z87$PhckX^*Fo@^KF3HW~K47lJiPR-_32oR`p#ys*@3eE5*a~VtA>-S_IDlH!t$?S_Vh{^YLZdswi(Nwkj8VQ?XU` zg0G$#QkTvj{eULIZ^oo11pS`%)d-& z6Wgjd=OkAGj&t)8Kd~ss`?K&(z<0ns3rGGx&Wh*Q{2>U`bJ@Fr*8-O+{y&3H`;MMF ze-W7W9X(h4AHZJ(rVWkqpN3C+&LV}6!vBH6|102=zN#+Xn4!hm81d0MlNh`CkM+ z39R$SvvYV3mX3cNcqy>1&*|X(5HQ$&#(foXqfQ2Y(RM}f61 z!I+Ep^+b}rayGuUwYsG(Yd${3J;ySsm``xVPW`{5yM3$t(J2{e%rGQDaEK%Z9?yjt zt`cC*wk4_QPUx%nz^MbDUajK1Mv=RsA$+eE?TvMB_Nm_XW65OhQ1)(6y?HYx8a+ym zVoCa6d`U+AO_=z2Op+SvZww`)k*zgRepuHK?b_0-_cVD7nOPC+>PAqTZ>#A`bVSAE z%*)N{zDRO2%^bQ0I?+}xXoe(By0O~5!6(-zYxLwrN)rUHg4AS2MYSmnU&74Elcf36 zCy&RQKp7kvQ>Ybq_Nc$t(TBeNCkp=~eLT1ygirmvjXvT#6uzqb+G~Z{t^Rm=`ZW{p z+bXY^`fs-n;Jw0?!@Ya#oxF4H$E>i@sKdTS&2R0?#6B(T^c@>cQh%2FqVZdq#f+0@ zZEV0ftan@ZjhO&X)F^Q6`|N!i!u*bZ&8bJ7Z=A~Uerttk$jg}k_a+nb>vt22Swc*H zLqy$0=fNu8hZOJrJ-CmFwvm50uJX$MhUi}r!M>LLKbw?%3}@>bKL*XbWb=>LHzwmN zLt>_(&x?Fh(Kon?=CqSO<*%82pbLcmUx~i8vX*AK@>+>Ga0eYo~!UYh35%u{XM>^fE$Lp@AU&|-ecsIrdh+-&oc7L##!9g()@}wi}a^nsZxHk z@T*^e4*L%EiM`Qnz19lY`yoE zCZHb2i{sRzA|(Itz30XEhkW|of1X6+lFcalD)txWAo2;K3Mg(~jZ@)Cq+!|C|t2$M(0UyE>&fmvsl8@Lr=*0<)5 zAbg#HyAiH7Fy~?Q2L3aIZ!+-b5MFQKzd@KXSu*DTarjXKA3`{8;HMB~KdkffZG^dR zL6`3c!t9qdegWZo;WYohBg}qUxYy67AjC+}dny+}PuV zNNe(;;LCf(FQ);1L-0*n%Yb=Lm}{oeeM literal 0 HcmV?d00001 diff --git a/flash/Makefile b/flash/Makefile index 9fa6743..6b70871 100644 --- a/flash/Makefile +++ b/flash/Makefile @@ -18,10 +18,10 @@ ifeq ($(CONFIG_USE_LIBSG),) CONFIG_USE_LIBSG=1 endif -ifneq ($(CONFIG_USE_LIBSG),0) -CFLAGS+=-DCONFIG_USE_LIBSG=1 -LDFLAGS+=-lsgutils2 -endif +#ifneq ($(CONFIG_USE_LIBSG),0) +#CFLAGS+=-DCONFIG_USE_LIBSG=1 +#LDFLAGS+=-lsgutils2 +#endif SRCS=main.c OBJS=$(SRCS:.c=.o) diff --git a/flash/TESTPAT.BIN b/flash/TESTPAT.BIN new file mode 100644 index 0000000000000000000000000000000000000000..dbeac90573c52c96f73bb3a45161dd120712e95a GIT binary patch literal 1048576 zcmX8WW6YN+qP}nwr$(CZQHiJ_h8Rmbx*3++f_+-KR=TM1pNOM$QhU* z1SJ^32|-9g5t=ZBB^=?2Ktv)DnJ7dh8qtYCOkxq6IK(9$@ku~J5|NlBBqbTiNkK|d zk(xB5B^~L>Kt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3Q?FM6r~u&DM3j}QJON8r5xp{ zKt(E1nJQGJ8r7*mO=?k_I@F~e^=Uvu8qt_0G^H8MX+cX`(V8~2r5)|*Ku0>!nJ#pt z8{O$aPkPatKJ=v@{TaYO1~Hf+3}qO@8NoS|UJKW_S_j$lW9`TqbJmneB zdBICw@tQZh{KwybfIvzhN1(t2At=EJP6$F0 ziqM21Ea3=G1R@fN$V4G3(TGkAViJqk#33&6h))6%l8D44At}j7P6|?ziqxbbE$K*4 z1~QU~%w!=e*~m@~a*~VODP6JlYEp~Z)S)i*s80hL(ul@1p()L1P77Mniq^EDE$wJe2RhP;&UB$G-RMpadeV#D z^r0{P=+6KKGKj$pVJO2G&Im>_iqVW=EaMo@1ST?x$xLA?)0oZ-W-^P}%waC`n9l+h zvWUejVJXX4&I(qtiq))PE$dj%1~#&Z&1_*S+t|(ycCw4z>|rna*v|nDa)`qm;V8#A z&IwL(iqo9oEay1S1uk-l%Ut0q*SO9NZgPv;+~F?wxX%L~@`%Sg;VI8}&I?}hir2j1 zE$?{G2R`zN&wSx4-}ufC{^4JK@{8a6;XnTV@3kK|kQ$gE1SJ^32|-9g5t=ZBB^=?2 zKtv)DnJ7dh8qtYCOkxq6IK(9$@ku~J5|NlBBqbTiNkK|dk(xB5B^~L>Kt?i=nJi=_ z8`;T0PI8f(Jme)G`6)m_3Q?FM6r~u&DM3j}QJON8r5xp{Kt(E1nJQGJ8r7*mO=?k_ zI@F~e^=Uvu8qt_0G^H8MX+cX`(V8~2r5)|*Ku0>!nJ#pt8{O$aPkPatKJ=v@{TaYO z1~Hf+3}qO@8NoS|UJKW_S_j$lW9`TqbJmneBdBICw@tQZh{Kwz_eewqhqy{DkK?z21LJ*QrgeDAO2}gJ$5RphkCJIrB zMs#8jlUT$i4snS`d=ik5L?k8&Nl8X>Qjn5Vq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E` z4|&N)ehN^KLKLP5MJYycN>Gwgl%@=2DMxuKP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^ zMl_}gO=(7RTF{bKw5APhX-9iH(2-7brVCx^Mt6G9lV0?u4}IxJe+Dp+K@4UHLm9?! zMlh05jAjgD8OL}gFp)`2W(rf8#&l*dlUdAW4s)5ud={{fMJ#3sOIgNpR)oEPH>V_oaPK?ImdY}aFI(~ z<_cH2#&vFRlUv;84tKf7eID?TM?B^UPkF|3UhtAvyygvWdB=M`@R3h^<_ll>#&>@3 z5C8I$U;O3||MB;KzxzQ0seuVXP=XPh5QHQYp$S7+!V#VbL?jZCi9%GO5uF&sBo?uW zLtNq!p9CZ%5s67cQj(FJ6r>~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!5 z5QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A7 z7PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k z#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg z*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67 zhfil%qTqs7NI$Q-!KjqdGOHNiAwqhq~0GJ`HF{BO23$rZl5DEoezATGNKM zw4*&8=tw6z(}k{dqdPt5NiTZShraZqKLZ%ZAO&aK$t-3whq=sSJ_}gLA{MiRr7UAPD_F@YR>(8 z$u4%YhrR4$KL zQjn5Vq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E`4|&N)ehN^KLKLP5MJYycN>Gwgl%@=2 zDMxuKP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^Ml_}gO=(7RTF{bKw5APhX-9iH(2-7b zrVCx^Mt6G9lV0?u4}IxJe+Dp+K@4UHLm9?!Mlh05jAjgD8OL}gFp)`2W(rf8#&l*d zlUdAW4s)5ud={{fMJ#3sOIgNpR)oEPH>V_oaPK?ImdY}aFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^U zPkF|3UhtAvyygvWdB=M`@R3h^<_ll>#&>@35C8I$U;O3||M52{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2j zl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1 zOckn9jq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcI zC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q z`7B@|i&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g; zj&h9SoZuv;3J>-%oo1$jqm*6AO7Vhzxd4`{^M^zK*#_B5ttwZB^bd8frgNw2u&Em z5{~dhAR>{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*FbAS0Q` zOct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9jq22( zCbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad z{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GO zma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv< zIL#T(a*p#{;3Ai}%oVP3jqBXtCbziF9qw|E`#j(wk9f=zp7M<6yx=9Tc+DH$@{ad> z;3J>-%oo1$jqm*6AO7Vhzxd4`{^M^zK&Su$5ttwZB^bd8K}a-(3QZWo5{~dhAR>{7 zOcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*FbAS0Q`Oct_|jqKzg zC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9jq22(Cbg(d9qLk# z`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad{tRFsgBZ*Z zhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GOma>fHtY9Up zSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv;3J>-%oo1$ zjqm*6AO7Vhzxd4`{^M^zK{7OcbILjp)Q6 zCb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp z{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9jq22(Cbg(d9qLk#`ZS;+jc800 zn$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A z7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GOma>fHtY9UpSj`&NvX1p^ zU?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv;3J>-%oo1$jqm*6AO7Vh zzxd4`{^M^zK$rjm5ttwZB^bd8K}bRo8qHzC5{~dhAR>{7OcbILjp)Q6Cb5W39O4p> z_#_}9iAYQml9G(%q#z}!NKG2jl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrB zic*Z?l%OP~C`}p4QjYRepdyv1Ockn9jq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!e zXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~ zU?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet? zjqU7UC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv;3J>-%oo1$jqm*6AO7Vhzxd4`{^M^z zK-d5R5ttwZB^bd8K}bRonlKm$8;{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQm zl9G(%q#z}!NKG2jl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~ zC`}p4QjYRepdyv1Ockn9jq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Y zpd+2=Oc%P+jqdcIC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_F zjp@u_CbO8$9Og2Q`7B@|i&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q z9`>@2{T$#Rhd9g;j&h9SoZuv;3J>-%oo1$jqm*6AO7Vhzxd4`{^M^zK)3(`5ttwZ zB^bd8K}bRonlOaLP`L0!AR>{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}! zNKG2jl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRe zpdyv1Ockn9jq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+ zjqdcIC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$ z9Og2Q`7B@|i&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#R zhd9g;j&h9SoZuv;3J>-%oo1$jqm*6AO7Vhzxd4`{^M^zK==Rx5ttwZB^bd8K}bRo znlOYV90tQjAR>{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*Fb zAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9 zjq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!R zANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@| zi&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9S zoZuv;3J>-%oo1$jqm*6AO7Vhzxd4`{^M^zK!gAS5ttwZB^bd8K}bRonlOYV9N{q> zAtI58OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*FbAS0Q`Oct_| zjqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9jq22(Cbg(d z9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad{tRFs zgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GOma>fH ztY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv;3J>- z%oo1$jqm*6AO7Vhzxd4`{^M^zK*Rt75ttwZB^bd8K}bRonlOYV9N~$81rZ|=nJ7dh z8qtYCOkxq6IK(9$@ku~J5|NlBBqbTiNkK|dk(xB5B^~L>Kt?i=nJi=_8`;T0PI8f( zJme)G`6)m_3Q?FM6r~u&DM3j}QJON8r5xp{Kt(E1nJQGJ8r7*mO=?k_I@F~e^=Uvu z8qt_0G^H8MX+cX`(V8~2r5)|*Ku0>!nJ#pt8{O$aPkPatKJ=v@{TaYO1~Hf+3}qO@ z8NoS|UJKW_S_j$lW9`TqbJmneBdBICw@tQZh{KwybfJgxZA}~P+N-%;Gf{=tFG+_u!IKmTwh*%OSGEs<1G@=uOn8YGB zafnMi;*)@cBqA|MNJ=u2lY*3_A~k79OFGh%fsAA#Gg-(=HnNk0oa7=mdB{sX@>76< z6rwOiC`vJkQ-YF|qBLbFOF7C@fr?b3GF7NbHL6pCn$)5;b*M`{>eGORG@>z0Xi77h z(}I??qBU)3OFP=rfsS;dGhOIPH@eeEMhTBSjsY%vx1eZVl``6%R1JxfsJfp zGh5ioHny{ao$O*ad)Ui9_H%%P9O5uXILa}ObApqc;xuPC%Q?<-fs0(?GFQ0DHLi1m zo800yceu+v?(=|$JmN7=c*--L^MaSW;x%u0%RAolfscIRGhg`1H@@?OfB2W5{Ngu% z_>aE<0g(d;L|}ptlwbrW1R)7UXu=SdaD*oU5s8FFk)sfmXhbIlF^NTN;t-d3#3um> zNkn3jkd$O3Cj}`YE-8NHK|2y>QI+@)TaRrX+&e1(3EC0rv)u(MQhs7 zmUgtK10Cr^XS&dpZgi&yJ?TYn`p}nt^k)DA8N^_QFqB~oX9Ob|#c0MbmT`<{0u!0U zWTr5cX-sDZGnvI~<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~DmUXOW0~^`IX11`EZER-; zJK4o<_OO?I?B@UnImBU(aFk;l=L9D?#c9rPmUEov0vEZ&Wv+0QYh33BH@U@a?r@iT z+~)xgdBkI$@RVmf=LIi$#cSU1mUq1810VUsXTI>2Z+zzm|L`wA`NePk@E?Bz0-^*E zh`DP6JlYEp~Z)S)i*s80hL(ul@1p()L1P77Mniq^EDE$wJe2RhP; z&UB$G-RMpadeV#D^r0{P=+6KKGKj$pVJO2G&Im>_iqVW=EaMo@1ST?x$xLA?)0oZ- zW-^P}%waC`n9l+hvWUejVJXX4&I(qtiq))PE$dj%1~#&Z&1_*S+t|(ycCw4z>|rna z*v|nDa)`qm;V8#A&IwL(iqo9oEay1S1uk-l%Ut0q*SO9NZgPv;+~F?wxX%L~@`%Sg z;VI8}&I?}hir2j1E$?{G2R`zN&wSx4-}ufC{^4JK@{8a6;XnQc1VjxW5P=CoP=XPh z5QHQYp$S7+!V#VbL?jZCiGqbuqY<4L#3UB6i9=lC5uXGkBoT>8LQ;~EoD`%a6{$%> zTGEl83}hq|naM&{vXPw} zF`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARTwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgI zs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}%wF zqdx-}$RGwYgrN*$I3pOzC`L1ev5aFp6PU;(CNqVpOk+ATn8_?=Gl#j%V?GO5$RZZA zgrzKFIV)JnDps?GwX9=38`#JuHnWATY-2k+*vT$-vxmLxV?PHt$RQ4MgrgkeI43yC zDNb{Svz+5R7r4kJE^~#eT;n=7xXCSUbBDX!<30~~$Ri%}gr_{?IWKt0D_--4x4h#$ zANa^8KJ$gIeB(Pm_=kV_$uEBMhyVB+5D-0pKm;ZTK?z21LJ*QrgeDAO2}gJ$5Rphk zCJIrBhQ-li5R+KMCJu3lM|={HkVGUV2}wyta#E0zRHP;iX-P+VGLVr>WF`w)$wqc^ zkds{GCJ%YZM}7)WkU|uu2t_GIaY|5Y(34*DrVoATM}Gz|kUW_xyE&FaFbiy<_>qc$9*2~kVib`2~T;(b6)V0SG?v8Z+XXiKJbxGeC7*Z z`NnsC@DKm;lVAMi5C8EuARtBnfe1_xf)b42gdilL2u&Em5{~dhAR>{7OcbILjp$e& zBPOwkO&sD9kN6}YA&E##5|WaP>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n z=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH z-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW z_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q2Gb!}Aazh5-OT@M7DxZQHhO+qP}nww<)GZ8o-@bRP5H@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw< zEMqw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc z$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#! zJKpnwk9^`YU--&5zVm~h{Ngu%_!|(AD1bl&CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(I zQHe%$Vi1#9#3l}LiAQ`AkPs1x5|f0aBqKQ~NJ%PElZLdUBRv_&NG39qg{)*FJ2}Wn zE^?EHyyPQ41t>@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aG zJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`Y zU--&5zVm~h{Ngu%_!|(AIDkL|CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9 z#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(# z$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M? zt6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h z{Ngu%_!|(AB!EB!CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`A zkdQ@%3R8rl z6r(sLC`l}a> z$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3 zJ3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|y zZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(A zG=M+^CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$q zIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snr zz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnE zc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AEPy}+CI~?Z zMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@ zxy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f z4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo z$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AJb*w1CI~?ZMsPw9l2C*u z3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^ z7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#` z<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDF zHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AB7i^yCI~?ZMsPw9l2C*u3}FdJcp?yy zNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~A zhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnw zk9^`YU--&5zVm~h{Ngu%_!|(AGJrq?CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$ zVi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw- zV?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujg zB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5 zzVm~h{Ngu%_!|(ADu6%)CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}L ziAQ`AkdQ@% z3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+k zg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwc zH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu% z_!|(AI)Fd~CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sL zC`l}a>$Rs8+ zg{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9P zE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb z+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(ACV)T$ zCI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0Eb zEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@ z2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE z<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AHh@3`CI~?ZMsPw9 zl2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le z3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm z_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^tx zf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AE`UG;CI~?ZMsPw9l2C*u3}FdJ zcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw< zEMqw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc z$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#! zJKpnwk9^`YU--&5zVm~h{Ngu%_!|(AK7c?3CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(I zQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aG zJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`Y zU--&5zVm~h{Ngu%_!|(AA%H*xCI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9 z#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(# z$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M? zt6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h z{Ngu%_!|(AF@Qh>CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`A zkdQ@%3R8rl z6r(sLC`l}a> z$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3 zJ3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|y zZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(A zDS$u(CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$q zIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snr zz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnE zc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AIe@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@ zxy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f z4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo z$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AC4fK#CI~?ZMsPw9l2C*u z3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^ z7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#` z<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDF zHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AHGn__CI~?ZMsPw9l2C*u3}FdJcp?yy zNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~A zhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnw zk9^`YU--&5zVm~h{Ngu%_!|(AEr37-CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$ zVi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw- zV?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujg zB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5 zzVm~h{Ngu%_!|(AJ%B(2CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}L ziAQ`AkdQa6>^aCuE^?EHyyPQ41t>@% z3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+k zg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwc zH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu% z_!|(ABY;2zCI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sL zC`l}a>$Rs8+ zg{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9P zE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb z+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AGk`z@ zCI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0Eb zEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@ z2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE z<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AD}X=*CI~?ZMsPw9 zl2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le z3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm z_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^tx zf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(AJAgn0CI~?ZMsPw9l2C*u3}FdJ zcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw< zEMqw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc z$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#! zJKpnwk9^`YU--&5zVm~h{Ngu%_!|(ACxAc%CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(I zQHe%$Vi1#9#3l}LiAQ`AkdQ2CyyPQ41t>@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aG zJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`Y zU--&5zVm~h{Ngu%_!|(AH-JC{CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9 z#3l}LiAQ`AkdQ^X4Nz z1t>@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(# z$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M? zt6bwcH@L|yZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h z{Ngu%_!|(AFMvP@%3R8rl z6r(sLC`l}a> z$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3 zJ3H9PE_Snrz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|y zZgYpb+~YnEc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|(A zKY&04CI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@%3R8rl6r(sLC`l}a>$Rs8+g{e$q zIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snr zz3gK@2RO(f4s(Qm_?M#`<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnE zc*rCE<1tTo$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_!|&VAb>ywCI~?Z zMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ}a>$Rs8+g{e$qIy0EbEM_x@ zxy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f z4s(S68K8#*K@0!@V6(Pu+qP}nwr$(CZJTY^wr$&N4*5V1ahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w7(gHb6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYl zViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=rl%y1;DMMMxQJxA^q!N{>LRG3!of_1n7PYBEUFuPv1~jA*jcGzt zn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs} z8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZV zWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{of zD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w6hI&X6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3Mcl zNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=rl%y1;DMMMxQJxA^q!N{>LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cS zX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxb zWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAi zogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz> zZgHDC+~pqkdB8&+@t7w({0#^w z96%rf6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!s zBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=rl%y1; zDMMMxQJxA^q!N{>LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-; zq!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X) zof*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWR zUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqk zdB8&+@t7w({0#^w5rl%y1;DMMMxQJxA^ zq!N{>LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%c zogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbd zT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ z{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w( z{0#^w8bBZd6NI1yBRC-lNhm@S zhOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSI zCNh(StYjlQImk&aa+8O=LRG3! zof_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62 zU;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{ zi&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|< zfMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w7C;~Z6NI1yBRC-lNhm@ShOmSqJQ0XU zBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQ zImk&aa+8O=ODOQ{kl%y1;DMMMxQJxA^q!N{>LRG3!of_1n7PYBE zUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0Ssgi zgBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_ ztYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w9zY-h6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<= zF^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O= zLRG3!of_1n7PYBEUFuPv1~jA* zjcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HG zjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^ zv7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<f zMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w5kMdU6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB z#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esV zw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{ zF`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d& z!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo z*SWz>ZgHDC+~pqkdB8&+@t7w( z{0#^w89*Qc6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_Q zlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu z(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^ z!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C z7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC z+~pqkdB8&+@t7w({0#^w6+j>Y z6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZ zNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR# zLRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz z7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{ z103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+ z@t7w({0#^w9Y7!g6NI1yBRC-l zNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3 zJsHSICNh(StYjlQImk&aa+8O= zLRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb0 z7rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K z1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUo zahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w6F?vW6NI1yBRC-lNhm@ShOmSq zJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(S ztYjlQImk&aa+8O=LRG3!of_1n z7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX z0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ zma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w8$cie6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@q zs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&a za+8O=LRG3!of_1n7PYBEUFuPv z1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5 zhB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6d zS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^w7eF8a6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYl zViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=LRG3!of_1n7PYBEUFuPv1~jA*jcGzt zn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs} z8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZV zWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{of zD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#^wA3z`i6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3Mcl zNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cS zX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxb zWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAi zogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz> zZgHDC+~pqkdB8&+@t7w({0#`G z5I`UT6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!s zBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=LRG3!of_1n7PYBEUFuPv1~jA*jcGztn$esVw4@cSX+vAu(Vh-; zq!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HGjARs}8N*n{F`fxbWD=8^!c?X) zof*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^v7QZVWD}d&!dAAiogM6C7rWWR zUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqk zdB8&+@t7w({0#`G7(gHb6NI1y zBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4 zhP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w( z{0#`G6hI&X6NI1yBRC-lNhm@S zhOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSI zCNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G96%rf6NI1yBRC-lNhm@ShOmSqJQ0XU zBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQ zImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G5!>+of_1n7PYBEUFuPv1~jA* zjcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HG zjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^ zv7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<f zMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G8bBZd6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB z#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo z*SWz>ZgHDC+~pqkdB8&+@t7w( z{0#`G7C;~Z6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_Q zlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC z+~pqkdB8&+@t7w({0#`G9zY-h z6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZ zNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+ z@t7w({0#`G5kMdU6NI1yBRC-l zNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3 zJsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G89*Qc6NI1yBRC-lNhm@ShOmSq zJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(S ztYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G6+j>Y6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@q zs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&a za+8O=W?TD7S|UFuPv z1~jA*jcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5 zhB2HGjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6d zS;Jb^v7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WZ{^dUoahM|<fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G9Y7!g6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYl zViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{of zD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#`G6F?vW6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3Mcl zNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz> zZgHDC+~pqkdB8&+@t7w({0#`G z8$cie6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!s zBRMHZNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqk zdB8&+@t7w({0#`G7eF8a6NI1y zBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4 zhP0$3JsHSICNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w( z{0#`GA3z`i6NI1yBRC-lNhm@S zhOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSI zCNh(StYjlQImk&aa+8O=fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({0#_b5I`UT6NI1yBRC-lNhm@ShOmSqJQ0XU zBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZNh(s4hP0$3JsHSICNh(StYjlQ zImk&aa+8O=}uP#ZQHhO+s0Pg=4#uvZQHiHJmd?)A{MiRr7UAPD_F@YR>(8$u4%YhrR4$KL7V1f{oU<4-wAqhoj!Vs2lgeL+Ki9}?g5S3^|Ck8QzMQq{_mw3b{0SQS&Vv>-Q zWF#jADM>|Y(vX&Pq$dLz$wX$dkd00k*TVTw?cViczYB`HN| z%21Yal&1m}sYGR}P?c&_rv^2tMQ!R(mwMEv0RkE}qA^WqN;8_%f|j(RHEn21JKEEM zj&!0kUFb?Ty3>Q6^rAO?=u1EPGk}2%Vle;kFGCp0ForXNk&I$AV;IXg#xsG5Oky%q zn94M!GlQATVm5P_%RJ_@fQ9_WA{MiRr7UAPD_F@YR>(8 z$u4%YhrR4$KL-QWF#jADM>|Y z(vX&Pq$dLz$wX$dkd00k*TVTw?cViczYB`HN|%21Yal&1m} zsYGR}P?c&_rv^2tMQ!R(mwMEv0S%GRs4-1wN;8_%f|j(RHEn21JKEEMj&!0kUFb?T zy3>Q6^rAO?=u1EPGk}2%Vle;kFGCp0ForXNk&I$AV;IXg#xsG5Oky%qn94M!GlQAT zVm5P_%RJ_@fQ9_WA{MiRr7UAPD_F@YR>(8$u4%YhrR4$ zKL-QWF#jADM>|Y(vX&Pq$dLz z$wX$dkd00k*TVTw?cViczYB`HN|%21Yal&1m}sYGR}P?c&_ zrv^2tMQ!R(mwMEv0S#${h{jE5N;8_%f|j(RHEn21JKEEMj&!0kUFb?Ty3>Q6^rAO? z=u1EPGk}2%Vle;kFGCp0ForXNk&I$AV;IXg#xsG5Oky%qn94M!GlQATVm5P_%RJ_@ zfQ9_WA{MiRr7UAPD_F@YR>(8$u4%YhrR4$KL-QWF#jADM>|Y(vX&Pq$dLz$wX$dkd00k*TVTw?cViczYB`HN|%21Yal&1m}sYGR}P?c&_rv^2tMQ!R( zmwMEv0S#$HW17&EW;CY-Eont-+R&DEw5J0d=|pF`(3NghA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^Hy zS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD; z<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2T zkxzW)3t#!hcYg4bU;O3|e**%V1`vqA1R*HF2u=t>5{l4-AuQntPXrhA@<2 z3}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1* zW({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPr zkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!h zcYg4bU;O3|e**%V1rUh91R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PE zFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9guf zkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(t zb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3| ze**%V2M~zB1R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M z#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7 zc6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUj zce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**$q1Q3Y8 z1R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_8 z1~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>EL zd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMy zJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**$q1`vqA1R*HF2u=t> z5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bR zdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh| z9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I z@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**$q1rUh91R*HF2u=t>5{l4-AuQnt zPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;l zEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5L zaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo z$9q2TkxzW)3t#!hcYg4bU;O3|e**$q2M~zB1R*HF2u=t>5{l4-AuQntPXr zhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf! zu##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>! z$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW) z3t#!hcYg4bU;O3|e**&A1Q3Y81R*HF2u=t>5{l4-AuQntPXrw5J0d=|pF`(3NghA@<23}*x* z8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju z$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W z3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4b zU;O3|e**&A1`vqA1R*HF2u=t>5{l4-AuQntPXr%w5J0d=|pF`(3NghA@<23}*x*8O3PEFqUzQ zX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu7 z3tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8Ez zTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**&A z1rUh91R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0 zm1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9n zUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X z9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**&A2M~zB1R*HF z2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw( zZ00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+ z4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{c zdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**$K1Q3Y81R*HF2u=t>5{l4- zAuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@4 z3;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei| zImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=& z<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**$K1`vqA1R*HF2u=t>5{l4-AuQntPXr_lg}(3NghA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^Hy zS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD; z<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2T zkxzW)3t#!hcYg4bU;O3|e**$K1rUh91R*HF2u=t>5{l4-AuQntPXrhA@<2 z3}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1* zW({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPr zkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!h zcYg4bU;O3|e**$K2M~zB1R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PE zFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9guf zkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(t zb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3| ze**%#1Q3Y81R*HF2u=t>5{l4-AuQntPXrrw2XhMQ{4hmwxnT00SAsVE*A>hA@<23}*x*8O3PEFqUzQX95$M z#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7 zc6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUj zce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**%#1`vqA z1R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_8 z1~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>EL zd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMy zJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**%#1rUh91R*HF2u=t> z5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bR zdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh| z9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I z@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**%#2M~zB1R*HF2u=t>5{l4-AuQnt zPXrhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;l zEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5L zaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo z$9q2TkxzW)3t#!hcYg4bU;O3|e**$~1Q3Y81R*HF2u=t>5{l4-AuQntPXr zhA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf! zu##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>! z$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW) z3t#!hcYg4bU;O3|e**$~1`vqA1R*HF2u=t>5{l4-AuQntPXrhA@<23}*x* z8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju z$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W z3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4b zU;O3|e**$~1rUh91R*HF2u=t>5{l4-AuQntPXrhA@<23}*x*8O3PEFqUzQ zX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu7 z3tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8Ez zTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**$~ z2M~zB1R*HF2u=t>5{l4-AuQntPXruI(Y^c7mwxnT00SAsVE*A>hA@<23}*x*8O3PEFqUzQX95$M#AK#0 zm1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9n zUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X z9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**&g1Q3Y81R*HF z2u=t>5{l4-AuQntPXrvz-pKCLmwxnT00SAsVE*A>hA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw( zZ00bRdCX@43;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+ z4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{c zdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**&g1`vqA1R*HF2u=t>5{l4- zAuQntPXrvz-t<9u z-+uIG00SAsVE*A>hA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@4 z3;B;lEM^HyS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei| zImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=& z<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|e**&g1rUh91R*HF2u=t>5{l4-AuQntPXrvz-t?g_();yi00SAs zVE*A>hA@<23}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^Hy zS;lf!u##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD; z<_u>!$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2T zkxzW)3t#!hcYg4bU;O3|e**&g2M~zB1R*HF2u=t>5{l4-AuQntPXrvz-t?g_{Se=O00SAsVE*A>hA@<2 z3}*x*8O3PEFqUzQX95$M#AK#0m1#_81~Zw(Z00bRdCX@43;B;lEM^HyS;lf!u##1* zW({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPr zkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!h zcYg4bU;O3|e**#r1Q3Y81R*HF2u=t>5{l4-AuQntPXrvz-t?g_{pgSU0RtJtVE$)_o)*L~004kpZQHhO z+qP}H-B#PSZQHhO+jhG=)oEPH>V_oaPK?ImdY}aFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^U zPkF|3UhtAvyygvWdB=M`@R3h^<_ll>#&>@3lVAMi4}Sv!^au0{L|}ptlwbrW1R)7U zXu=SdaD*oU5s5@(q7ap6L?;F@iA8MU5SMtwCjkjbL}HSVlw>3)1u02IYSNIFbfhN( z8OcOuvXGT*WG4qX$whARke7VqrvL>hL}7|hlwuU81SKg&Y06NRa+Ie66{$pJs!)|` zRHp_tsYPw-P?vhtrvVLVL}QxJlx8%i1ubbsYueD3cC@Dh9qB}8y3mzwbf*VB=|yk) z@DKm;AARXZe+Dp+K@4UHLm9?!Mlh05jAjgD8OL}gFp)`2W(rf8#&l*dlUdAW4s)5u zd={{fMJ#3sOIgNpR)oEPH>V_oaPK?ImdY}aFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^UPkF|3UhtAv zyygvWdB=M`@R3h^<_ll>#&>@3lVAMi4}Sv!)CcqrL|}ptlwbrW1R)7UXu=SdaD*oU z5s5@(q7ap6L?;F@iA8MU5SMtwCjkjbL}HSVlw>3)1u02IYSNIFbfhN(8OcOuvXGT* zWG4qX$whARke7VqrvL>hL}7|hlwuU81SKg&Y06NRa+Ie66{$pJs!)|`RHp_tsYPw- zP?vhtrvVLVL}QxJlx8%i1ubbsYueD3cC@Dh9qB}8y3mzwbf*VB=|yk)@DKm;AARXZ ze+Dp+K@4UHLm9?!Mlh05jAjgD8OL}gFp)`2W(rf8#&l*dlUdAW4s)5ud={{fMJ#3s zOIgNpR)oEPH>V_ zoaPK?ImdY}aFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^UPkF|3UhtAvyygvWdB=M` z@R3h^<_ll>#&>@3lVAMi4}Sv!v3)1u02IYSNIFbfhN(8OcOuvXGT*WG4qX$whAR zke7VqrvL>hL}7|hlwuU81SKg&Y06NRa+Ie66{$pJs!)|`RHp_tsYPw-P?vhtrvVLV zL}QxJlx8%i1ubbsYueD3cC@Dh9qB}8y3mzwbf*VB=|yk)@DKm;AARXZe+Dp+K@4UH zLm9?!Mlh05jAjgD8OL}gFp)`2W(rf8#&l*dlUdAW4s)5ud={{fMJ#3sOIgNpR)oEPH>V_oaPK?ImdY} zaFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^UPkF|3UhtAvyygvWdB=M`@R3h^<_ll> z#&>@3lVAMi4}Sv!lm`q9L|}ptlwbrW1R)7UXu=SdaD*oU5s5@(q7ap6L?;F@iA8MU z5SMtwCjkjbL}HSVlw>3)1u02IYSNIFbfhN(8OcOuvXGT*WG4qX$whARke7VqrvL>h zL}7|hlwuU81SKg&Y06NRa+Ie66{$pJs!)|`RHp_tsYPw-P?vhtrvVLVL}QxJlx8%i z1ubbsYueD3cC@Dh9qB}8y3mzwbf*VB=|yk)@DKm;AARXZe+Dp+K@4UHLm9?!Mlh05 zjAjgD8OL}gFp)`2W(rf8#&l*dlUdAW4s)5ud={{fMJ#3sOIgNpR)oEPH>V_oaPK?ImdY}aFI(~<_cH2 z#&vFRlUv;84tKf7eID?TM?B^UPkF|3UhtAvyygvWdB=M`@R3h^<_ll>#&>@3lVAMi z4}Sv!1_cm^zyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl z#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe* zN>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8S zXio<^(uvM=p)1|!P7iw0i{A9%AO7V(`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)j zB9oZR6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6 z?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1@BH8=zxd4`{ssi74j3GW zzyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*EL zQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu; zC{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM= zp)1|!P7iw0i{A9%AO7V(`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb z>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZ zc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1@BH8=zxd4`{sshS4j2-Mzyu*E!3a(W zLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-To zNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k` zp(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0 zi{A9%AO7V(`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s z<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a z;3cnk%^TkGj`w`vBcJ%p7rye1@BH8=zxd4`{ssgn4j3AUzyu*E!3a(WLK2G5gdr^9 z2u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@ zAuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{O zi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A9%AO7V( z`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsv zSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkG zj`w`vBcJ%p7rye1@BH8=zxd4`{ssi-4Hy=Pzyu*E!3a(WLK2G5gdr^92u}ne5{bw} zAu7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRC zi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES z0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A9%AO7V(`qGd73}7IG z7|alcGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@d zU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p z7rye1@BH8=zxd4`{ssi74HzDXzyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>`P7Goa zi`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw% z0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR z&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A9%AO7V(`qGd73}7IG7|alcGK}Gj zU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1@BH8= zzxd4`{sshS4HyxKzyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@ z0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w> z#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQv zw4p8SXio<^(uvM=p)1|!P7iw0i{A9%AO7V(`qGd73}7IG7|alcGK}GjU?ig$%^1cq zj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZb zx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1@BH8=zxd4`{ssgn z4Hy}Szyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl#3Ugp z$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_ zl%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^ z(uvM=p)1|!P7iw0i{A9%AO7V(`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)jB9oZR z6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX& zJm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1@BH8=zxd4`{ssh$3Lp@H2|`eU z5u6Z&Bov_uLs-HQo(M!F5|N2QRH6}`7{nwNv57-m;t`(&BqR}uNkUSRk(?ByBo(Pi zLt4_2o(yCp6Pd|ERP^DMC?-QJfN#q!gtoLs`mEo(fc? z5|yb!RjN^)8q}l~wW&j0>QSEtG^7!YX+l$)(VP~vq!q1cLtEO>o(^=R6P@WoSGv)i z9`vLaz3Ib0{L6pzr62tnz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU*}+bBv70^YWgq)F zz(Edim?IqJ7{@umNltN^Go0ld=efW|E^(PFT;&?qxxr0tahp5bP^DMC?-QJfN#q!gtoLs`mEo(fc?5|yb!RjN^) z8q}l~wW&j0>QSEtG^7!YX+l$)(VP~vq!q1cLtEO>o(^=R6P@WoSGv)i9`vLaz3Ib0 z{L6pzr62tnz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU*}+bBv70^YWgq)Fz(Edim?IqJ z7{@umNltN^Go0ld=efW|E^(PFT;&?qxxr0tahp5bP^DMC?-QJfN#q!gtoLs`mEo(fc?5|yb!RjN^)8q}l~wW&j0 z>QSEtG^7!YX+l$)(VP~vq!q1cLtEO>o(^=R6P@WoSGv)i9`vLaz3Ib0{L6pzr62tn zz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU*}+bBv70^YWgq)Fz(Edim?IqJ7{@umNltN^ zGo0ld=efW|E^(PFT;&?qxxr0tahp5bP^DMC?-QJfN#q!gtoLs`mEo(fc?5|yb!RjN^)8q}l~wW&j0>QSEtG^7!Y zX+l$)(VP~vq!q1cLtEO>o(^=R6P@WoSGv)i9`vLaz3Ib0{L6pzr62tnz(58um>~>h z7{eLCNJcT5F^pv#;I& zHLPVF>)F6YHnEv4Y-JnU*}+bBv70^YWgq)Fz(Edim?IqJ7{@umNltN^Go0ld=efW| zE^(PFT;&?qxxr0tahp5bP^ zDMC?-QJfN#q!gtoLs`mEo(fc?5|yb!RjN^)8q}l~wW&j0>QSEtG^7!YX+l$)(VP~v zq!q1cLtEO>o(^=R6P@WoSGv)i9`vLaz3Ib0{L6pzr62tnz(58um>~>h7{eLCNJcT5 zF^pv#;I&HLPVF>)F6Y zHnEv4Y-JnU*}+bBv70^YWgq)Fz(Edim?IqJ7{@umNltN^Go0ld=efW|E^(PFT;&?q zxxr0tahp5bP^DMC?-QJfN# zq!gtoLs`mEo(fc?5|yb!RjN^)8q}l~wW&j0>QSEtG^7!YX+l$)(VP~vq!q1cLtEO> zo(^=R6P@WoSGv)i9`vLaz3Ib0{L6pzr62tnz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU z*}+bBv70^YWgq)Fz(Edim?IqJ7{@umNltN^Go0ld=efW|E^(PFT;&?qxxr0tahp5b zP^DMC?-QJfN#q!gtoLs`mE zo(fc?5|yb!RjN^)8q}l~wW&j0>QSEtG^7!YX+l$)(VP~vq!q1cLtEO>o(^=R6P@Wo zSGv)i9`vLaz3Ib0{L6pzr62tnz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU*}+bBv70^Y zWgq)Fz(Edim?IqJ7{@umNltN^Go0ld=efW|E^(PFT;&?qxxr0tahp5bP^DMC?-QJfN#q!gtoLs`mEo(fc?5|yb! zRjN^)8q}l~wW&j0>QSEtG^7!YX+l$)(VP~vq!q1cLtEO>o(^=R6P@WoSGv)i9`vLa zz3Ib0{L6pzr62tnz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU*}+bBv70^YWgq)Fz(Edi zm?IqJ7{@umNltN^Go0ld=efW|E^(PFT;&?qxxr0tahp5bTwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7Wnq zQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}#cfm;dNX zKl(F(fed0WLm0|1hBJbZjAArn7|S@uGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw* zOIXS>ma~GDtYS55Sj#%rvw@9lVl!LV$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*O zoZ>WRILkTCbAgLo;xbpb$~CTYgPYvqHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9 z^MQ|i;xk|P$~V6AgP;83H-GpW5TGhxav%Z|grEc?I3Wm0C_)p4u!JK#5r{}6A`^wE zL?b#eh)FDB6Nk9OBR&a8NFoxGgrp=RIVngTwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2 zNFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}#cfm;dNXKl(F(fed0W zLm0|1hBJbZjAArn7|S@uGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw*OIXS>ma~GD ztYS55Sj#%rvw@9lVl!LV$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*OoZ>WRILkTC zbAgLo;xbpb$~CTYgPYvqHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i;xk|P z$~V6AgP;83H-GpW5TGewN+1FggrEc?I3Wm0C_)p4u!JK#5r{}6A`^wEL?b#eh)FDB z6Nk9OBR&a8NFoxGgrp=RIVngTw zNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3 zIW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}#cfm;dNXKl(F(fed0WLm0|1hBJbZ zjAArn7|S@uGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%r zvw@9lVl!LV$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb z$~CTYgPYvqHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83 zH-GpW5TGbvY9Im=grEc?I3Wm0C_)p4u!JK#5r{}6A`^wEL?b#eh)FDB6Nk9OBR&a8 zNFoxGgrp=RIVngTwNFfSSgrXFq zI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZr zwzQ)?9q33WI@5)&bfY^x=t(bn(}#cfm;dNXKl(F(fed0WLm0|1hBJbZjAArn7|S@u zGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%rvw@9lVl!LV z$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb$~CTYgPYvq zHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83H-GpW5TGYu zS|9=wgrEc?I3Wm0C_)p4u!JK#5r{}6A`^wEL?b#eh)FDB6Nk9OBR&a8NFoxGgrp=R zIVngTwNFfSSgrXFqI3*}aDN0j@ zvXrAd6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33W zI@5)&bfY^x=t(bn(}#cfm;dNXKl(F(fed0WLm0|1hBJbZjAArn7|S@uGl7XrVlq>h z$~2}kgPF`?HglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%rvw@9lVl!LV$~LyMgPrVR zH+$I2KK65fgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb$~CTYgPYvqHg~woJ?`^> zhdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83H-GpW5TGVtdLRN5grEc? zI3Wm0C_)p4u!JK#5r{}6A`^wEL?b#eh)FDB6Nk9OBR&a8NFoxGgrp=RIVngTwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuh zDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x z=t(bn(}#cfm;dNXKl(F(fed0WLm0|1hBJbZjAArn7|S@uGl7XrVlq>h$~2}kgPF`? zHglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%rvw@9lVl!LV$~LyMgPrVRH+$I2KK65f zgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb$~CTYgPYvqHg~woJ?`^>hdkmjPk72R zp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83H-GpW5TGSsMj!$cgrEc?I3Wm0C_)p4 zu!JK#5r{}6A`^wEL?b#eh)FDB6Nk9OBR&a8NFoxGgrp=RIVngTwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgI zs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}#cf zm;dNXKl(F(fed0WLm0|1hBJbZjAArn7|S@uGl7XrVlq>h$~2}kgPF`?HglNEJm#~2 zg)Cw*OIXS>ma~GDtYS55Sj#%rvw@9lVl!LV$~LyMgPrVRH+$I2KK65fgB;>8M>xtc zj&p*OoZ>WRILkTCbAgLo;xbpb$~CTYgPYvqHg~woJ?`^>hdkmjPk72Rp7Vm2yy7)) zc*{H9^MQ|i;xk|P$~V6AgP;83H-GpW5TGPrW*`C+grEc?I3Wm0C_)p4u!JK#5r{}6 zA`^wEL?b#eh)FDB6Nk9OBR&a8NFoxGgrp=RIVngTwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7WnqQ-`|L zqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}#cfm;dNXKl(F( zfed0WLm0|1hBJbZjAArn7|S@uGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw*OIXS> zma~GDtYS55Sj#%rvw@9lVl!LV$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*OoZ>WR zILkTCbAgLo;xbpb$~CTYgPYvqHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i z;xk|P$~V6AgP;83H-GpW5HKr%Km;ZTK?z21LJ*QrgeDAO2}gJ$5RphkCJIrBMs#8j zlUT$i4snS`d=ik5L?k8&Nl8X>Qjn5Vq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E`4|&N) zehN^KLKLP5MJYycN>Gwgl%@=2DMxuKP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^Ml_}g zO=(7RTF{bKw5APhX-9iH(2-7brVCx^Mt6G9lV0?u5C8Bl|IwFz^k)DA8N^_QFqB~o zX9Ob|#c0MbmT`<{0u!0UWTr5cX-sDZGnvI~<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~D zmUXOW0~^`IX11`EZER-;JK4o<_OO?I?B@UnImBU(aFk;l=L9D?#c9rPmUEov0vEZ& zWv+0QYh33BH@U@a?r@iT+~)xgdBkI$@RVmf=LIi$#cSU1mUq1810VUsXTI>2Z+zzm zKl#OP{_r;-Kt;gpKm;ZTK?z21LJ*QrgeDAO2}gJ$5RphkCJIrBMs#8jlUT$i4snS` zd=ik5L?k8&Nl8X>Qjn5Vq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E`4|&N)ehN^KLKLP5 zMJYycN>Gwgl%@=2DMxuKP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^Ml_}gO=(7RTF{bK zw5APhX-9iH(2-7brVCx^Mt6G9lV0?u5C8Bl|IwFz^k)DA8N^_QFqB~oX9Ob|#c0Mb zmT`<{0u!0UWTr5cX-sDZGnvI~<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~DmUXOW0~^`I zX11`EZER-;JK4o<_OO?I?B@UnImBU(aFk;l=L9D?#c9rPmUEov0vEZ&Wv+0QYh33B zH@U@a?r@iT+~)xgdBkI$@RVmf=LIi$#cSU1mUq1810VUsXTI>2Z+zzmKl#OP{_r;- zKtsTsKm;ZTK?z21LJ*QrgeDAO2}gJ$5RphkCJIrBMs#8jlUT$i4snS`d=ik5L?k8& zNl8X>Qjn5Vq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E`4|&N)ehN^KLKLP5MJYycN>Gwg zl%@=2DMxuKP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^Ml_}gO=(7RTF{bKw5APhX-9iH z(2-7brVCx^Mt6G9lV0?u5C8Bl|IwFz^k)DA8N^_QFqB~oX9Ob|#c0MbmT`<{0u!0U zWTr5cX-sDZGnvI~<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~DmUXOW0~^`IX11`EZER-; zJK4o<_OO?I?B@UnImBU(aFk;l=L9D?#c9rPmUEov0vEZ&Wv+0QYh33BH@U@a?r@iT z+~)xgdBkI$@RVmf=LIi$#cSU1mUq1810VUsXTI>2Z+zzmKl#OP{_r;-KtaIVKm;ZT zK?z21LJ*QrgeDAO2}gJ$5RphkCJIrBMs#8jlUT$i4snS`d=ik5L?k8&Nl8X>Qjn5V zq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E`4|&N)ehN^KLKLP5MJYycN>Gwgl%@=2DMxuK zP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^Ml}AP0eV^x!vFvPcC~HWwzbu^x!SgE+qP}n zwr$(XLw+DMq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(Vqbf zWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8 zoE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G z`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ip zq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}W zoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gp zTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vB zQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2 zoEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l# zSjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+ zo7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2 zK}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQ zTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=X zlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ z>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB7 z9ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gw zo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv z%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D; zv7ZAR zKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5 zDpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5; z^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP> zF`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_ z8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};E zYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o z(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5 z!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f( zJme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKv zX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH z!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO z6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_ z3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2 zLQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy< z6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i z4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtX zr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC z6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7) z2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuv zwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwc znlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{ z4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO) zrZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a z*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD% zMJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eX zy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g` znZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZAR zKt?i= znJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK! z)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP z=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2 zWD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0 zPI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy z)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(Vqbf zWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8 zoE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G z`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ip zq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}W zoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gp zTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vB zQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2 zoEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l# zSjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+ zo7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2 zK}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQ zTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=X zlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ z>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB7 z9ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gw zo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv z%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D; zv7ZAR zKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5 zDpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5; z^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP> zF`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_ z8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};E zYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o z(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5 z!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f( zJme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKv zX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH z!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO z6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_ z3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2 zLQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy< z6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i z4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtX zr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC z6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7) z2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuv zwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwc znlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{ z4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO) zrZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a z*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD% zMJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eX zy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g` znZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZAR zKt?i= znJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK! z)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP z=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2 zWD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0 zPI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy z)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(Vqbf zWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8 zoE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G z`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ip zq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}W zoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gp zTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vB zQkWtXr5MF2K}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2 zoEEgC6|HGQTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l# zSjI7)2~1=XlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+ zo7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2 zK}kwcnlhB79ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQ zTiVf{4s@gwo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=X zlbOO)rZJrv%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ z>|__a*~4D;v7ZARKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB7 z9ObD%MJiF5DpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gw zo#{eXy3w5;^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv z%w!g`nZsP>F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D; zv7ZAR zKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3h@vBQkWtXr5MF2K}kwcnlhB79ObD%MJiF5 zDpaK!)u};EYEhdy)TJKvX~2Ipq!Ep2LQ|U2oEEgC6|HGQTiVf{4s@gwo#{eXy3w5; z^rRQP=|f-o(VqbfWDtWH!cc}WoDqy<6r&l#SjI7)2~1=XlbOO)rZJrv%w!g`nZsP> zF`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARKt?i=nJi=_ z8`;T0PI8f(Jme)G`6)m_3h_U~^AI400RTYoV%xUW#%yfcwr$(CZQHhO+qOH8`R^8> zAcZJQ5sFfb;*_8yr6^4q%2JN>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{> z6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0 zuXxQH-tvz3eBdLW_{K|GAt=EJP6$F0iqM21Ea3=G z1R@fN$V4G3(TGkAViJqk#33&6h))6%l8D44At}j7P6|?ziqxbbE$K*42L9n+GLnhR zWFafr$W9J&l8fBr;Xm?{kNgy%AcZJQ5sFfb;*_8yr6^4q%2JN>6Q1&n=e*!0uXxQH-tvz3 zeBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{ z8i>FIAt=EJP6$F0iqM21Ea3=G1R@fN$V4G3(TGkAViJqk#33&6h))6%l8D44At}j7 zP6|?ziqxbbE$K*42L9n+GLnhRWFafr$W9J&l8fBr;Xm?{kNgy%AcZJQ5sFfb;*_8y zr6^4q%2JN>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n z=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH z-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW z_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{> z6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0 zuXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3 zeBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{K|GAt=EJP6$F0iqM21Ea3=G1R@fN$V4G3(TGkAViJqk#33&6h))6% zl8D44At}j7P6|?ziqxbbE$K*42L9n+GLnhRWFafr$W9J&l8fBr;Xm?{kNgy%AcZJQ z5sFfb;*_8yr6^4q%2JN>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n z=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH z-tvz3eBdLW_{FIAt=EJP6$F0iqM21Ea3=G1R@fN z$V4G3(TGkAViJqk#33&6h))6%l8D44At}j7P6|?ziqxbbE$K*42L9n+GLnhRWFafr z$W9J&l8fBr;Xm?{kNgy%AcZJQ5sFfb;*_8yr6^4q%2JN>6Q1&n=e*!0uXxQH-tvz3eBdLW z_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{> z6Q1&n=e*!0uXxQH-tvz3eBdLW_{>6Q1&n=e*!0 zuXxQH-tvz3eBdLW_{>6Q1&n=e*!0uXxQH-tvz3 zeBdLW_{>6Q1&n=e*!0uXxQH-tvz3eBdLW_{~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV z5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB z+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1 znZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4 zWEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M z%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV z=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIA zWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5W zp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx z(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD> zsX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUj zq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Su zp9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO! zQI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r z$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJosp zq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQ zp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*E zQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A} zr#Zt}&T*a#T;vj$xx!Vhah)67rX}@ehFsLQsMcoDhU06rl-2Si~-sYydx(vhAFWF!-r$wF4Lk)0gm zBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}o zp9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=Q zP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_x zt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a# zT;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTc zp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg= zQ<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLM zqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)q zY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vh zah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1 zQHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=l zt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4= zOk@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~ zv7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGA zr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4 zbfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2 zF`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H z!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~- zsYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#Q zRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We! z(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT z!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^ z5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAF zWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q% zQJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#E zLtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r z5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t( z6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4L zk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQ zLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH z5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot z6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt} z&T*a#T;vj$xx!Vhah)67mgv@ehFsLQsMcoDhU06rl-2Si~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12K zLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf z5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_ z5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ z*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$ zxx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!5 z5QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A7 z7PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k z#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg z*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67 z~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV z5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB z+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1 znZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4 zWEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M z%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV z=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIA zWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5W zp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx z(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD> zsX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUj zq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Su zp9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO! zQI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r z$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJosp zq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQ zp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*E zQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A} zr#Zt}&T*a#T;vj$xx!Vhah)67jCcq@ehFsLQsMcoDhU06rl-2Si~-sYydx(vhAFWF!-r$wF4Lk)0gm zBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}o zp9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=Q zP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_x zt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a# zT;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTc zp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg= zQ<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLM zqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)q zY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vh zah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1 zQHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=l zt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4= zOk@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~ zv7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGA zr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4 zbfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2 zF`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H z!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~- zsYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#Q zRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We! z(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT z!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^ z5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAF zWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q% zQJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#E zLtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r z5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t( z6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4L zk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQ zLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH z5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot z6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt} z&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12K zLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf z5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_ z5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ z*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$ zxx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!5 z5QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A7 z7PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k z#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg z*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67 z~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV z5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB z+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1 znZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4 zWEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M z%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV z=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIA zWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5W zp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx z(vhAFWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD> zsX|q%QJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUj zq!+#ELtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Su zp9L&r5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO! zQI2t(6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67~-sYydx(vhAFWF!-r z$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJosp zq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQ zp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*E zQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A} zr#Zt}&T*a#T;vj$xx!Vhah)67xE@ehFsLQsMcoDhU06rl-2Si~-sYydx(vhAFWF!-r$wF4Lk)0gm zBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}o zp9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=Q zP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_x zt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a# zT;vj$xx!Vhah)67g@*nYtPXZE>h{PlzDalAq3R04a)TALT=}1ooGLnhRWFafr$W9J&l8fBrAusvJ zPXP*2h{6=1D8(pF2})9m(v+brs7?)PQj6Nup)U2PPXij#h{iOb zDa~k33tG~O*0iB5?PyO2I?{>GbfGKV=uQuM(u>~op)dXD&j1E8h`|hDD8m@e2u3oB z(Trg%;~38bCNhc1Okpb1n9dAlGK<;FVJ`ES&jJ>*h{Y^nDa%;S3Rbd;)vRGH>sZeQ zHnNG$Y+)*>T;VF$ zxXul3a*NyC;V$>M&jTLvh{rtPDbIM$3tsYy*Sz5^?|9D#KJtmreBmqK_|6Z0@{8a6 z;cq~IC*be@6xD+g7zz>uz}U8J+qP}nwr$(CZQHhO+j+UZ+Uog(nGHxF0uzLw1S2>h z2uUbH6Na#aBRmm^NF*W?g{VX$Ix&bzEMgOfxWpqq2}npH5|f0aBqKQ~NJ%PElZLdU zBRv_&NG39qg{)*FJ2}WnE^?EHyyPQ41t>@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le z3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Q~ z9OF1AILRqabB42=<2)C*$R#dwg{xfSIybnC)009F8;Qtqhzyu*E!3a(WLK2G5gdr^9 z2u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@ zAuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{O zi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A91Fa7Ax z00uIM!3<$2!x+v8Mly=gjA1O}7|#SIGKtAdVJg#@&J1QUi`mR!F7uer0v57}#Vlbd z%UI3|Rh{PlzDalAq3R04a)TALT=}1ooGLnhRWFafr$W9J& zl8fBrAusvJPXP*2h{6=1D8(pF2})9m(v+brs7?)PQj6Nup)U2P zPXij#h{iObDa~k33tG~O*0iB5?PyO2I?{>GbfGKV=uQuM(u>~op)dXD&j1E8h`|hD zD8m@e2u3oB(Trg%;~38bCNhc1Okpb1n9dAlGK<;FVJ`ES&jJ>*h{Y^nDa%;S3Rbd; z)vRGH>sZeQHnNG$Y+)*>T;VF$xXul3a*NyC;V$>M&jTLvh{rtPDbIM$3tsYy*Sz5^?|9D#KJtmreBmqK z_|6Z0@{8a6;V=L3FM!$;kU#_`2tf%(a6%B0P=qE7VF^cgA`p>CL?#MRiAHo{5R+KM zCJu3lM|={HkVGUV2}wyta#E0zRHP;iX-P+VGLVr>WF`w)$wqc^kds{GCJ%YZM}7)W zkU|uu2t_GIaY|5Y(34*DrVoATM}Gz|kUW_xyE&F zaFbiy<_>qc$9*2~kVib`2~T;(b6)V0SG?v8Z+XXiKJbxGeC7*Z`NnsC@RMKs<_~}Q zkADHYd;$`Pzyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl z#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe* zN>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8S zXio<^(uvM=p)1|!P7iw0i{A91Fa7Ax00uIM!3<$2!x+v8Mly=gjA1O}7|#SIGKtAd zVJg#@&J1QUi`mR!F7uer0v57}#Vlbd%UI3|Rlxi$tXrMhOvxeJQJA6BqlS3sZ3)!GnmON zW;2Jm%ws+aSjZw4vxKEAV>v5W$tqT}hPA9?Jsa4_CN{H$t!!gEJJ`uCcC&}Q>|;L% zILILmbA+QD<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*r9j^Mt27 z<2f&Q$tzy-hPS-qJsKlsTne)EUF{Kvll0fPo0Ab|)>5P}kn;DjI~ zp$JVF!V-?~L?9xOh)fis5{>A@ASSVhO&sD9kN6}YA&E##5|WaP>6Q1&n=e*!0uXxQH z-tvz3eBdLW_{JWZ1R^j&2ud)56M~S0A~azLOE|(4 zfrvyRGEs<1G@=uOn8YGBafnMi;*)@cBqA|MNJ=u2lY*3_A~k79OFGh%fsAA#Gg-(= zHnNk0oa7=mdB{sX@>76<6rwOiC`vJkQ-YF|qBLbFOF7C@fr?b3GF7NbHL6pCn$)5; zb*M`{>eGORG@>z0Xi77h(}I??qBU)3OFP=rfsS;dGhOIPH@eeEMhTBSjsY% zvx1eZVl``6%R1JxfsJfpGh5ioHny{ao$O*ad)Ui9_H%%P9O5uXILa}ObApqc;xuPC z%Q?<-fs0(?GFQ0DHLi1mo800yceu+v?(=|$JmN7=c*--L^MaSW;x%u0%RAolfscIR zGhg`1H@@?OpZwxCfB4IP{0k5;cmM(th`h{PlzDalAq3R04a)TALT=}1ooGLnhRWFafr$W9J&l8fBr zAusvJPXP*2h{6=1D8(pF2})9m(v+brs7?)PQj6Nup)U2PPXij# zh{iObDa~k33tG~O*0iB5?PyO2I?{>GbfGKV=uQuM(u>~op)dXD&j1E8h`|hDD8m@e z2u3oB(Trg%;~38bCNhc1Okpb1n9dAlGK<;FVJ`ES&jJ>*h{Y^nDa%;S3Rbd;)vRGH z>sZeQHnNG$Y+)*> zT;VF$xXul3a*NyC;V$>M&jTLvh{rtPDbIM$3tsYy*Sz5^?|9D#KJtmreBmqK_|6Z0 z@{8a6;V=L3FF?Q$0SHJS0uzLw1S2>h2uUbH6Na#aBRmm^NF*W?g{VX$Ix&bzEMgOf zxWpqq2}npH5|f0aBqKQ~NJ%PElZLdUBRv_&NG39qg{)*FJ2}WnE^?EHyyPQ41t>@% z3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+k zg{^F3J3H9PE_Snrz3gK@2RO(f4s(Q~9OF1AILRqabB42=<2)C*$R#dwg{xfSIybn< zEpBs%yWHbG4|vEU9`l5!JmWbpc*!eX^M<#)<2@hv$R|GYg|B?$J3sizFMjifzx>C) z00Bb=ARvJVOb~(+jNpVIB%ugR7{U^c@I)XYk%&wbq7seh#2_ZIh)o>g5|8*KAR&oJ zOcIikjO3&sC8HNAm8eV=s#1;W)SxD{s7)Q}QjhvHpdpQDOcR>YjOMhUC9P;p8`{#2 z_H>{lo#;##y3&pA^q?ob=uIE`(vSWOU?77S%n*h$jNy!6B%>J37{)S=@l0SMlbFmD zrZSD`%wQ(7n9UsKGLQKzU?GcG%o3KejODCgC97D?8rHIo^=x1xo7l`2wz7@w>|iIm z*v%gHvXA{7;2?)M%n^=qjN_c(B&Rsd8P0N!^IYH}m$=Lou5yj*+~6j+xXm5za*z8w z;31EA%oCpSjOV=IC9inR8{YDc_k7?ZpZLrdzVeOl{NN|Q_{|^w@*n>K1Pm2`fCM5i zK?q7Pf)j#}gd#Ly2unD^6M=|CA~I2kN;IMqgP6o3HgSkcJmQmpgd`#{Nk~dEl9Pgz zq#`wGNJ~1>lYxw6A~RXYN;a~SgPi0dH+jfQKJrt5f)t`KMJP%!ic^A;l%h0cC`&oY zQ-O+9qB2#eN;RregPPQ$Hg%{=J?hhdhBTrvO=wCpn$v=ow4ya_XiGcV(}9k3qBC9S zN;kUGgP!!FH+|?!Kl(F(fed0WLm0|1hBJbZjAArn7|S@uGl7XrVlq>h$~2}kgPF`? zHglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%rvw@9lVl!LV$~LyMgPrVRH+$I2KK65f zgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb$~CTYgPYvqHg~woJ?`^>hdkmjPk72R zp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83H-GrcfBXv&FmwO{5{SSAAt=EJP6$F0 ziqM21Ea3=G1R@fN$V4G3(TGkAViJqk#33&6h))6%l8D44At}j7P6|?ziqxbbE$K*4 z1~QU~%w!=e*~m@~a*~VODP6JlYEp~Z)S)i*s80hL(ul@1p()L1P77Mniq^EDE$wJe2RhP;&UB$G-RMpadeV#D z^r0{P=+6KKGKj$pVJO2G&Im>_iqVW=EaMo@1ST?x$xLA?)0oZ-W-^P}%waC`n9l+h zvWUejVJXX4&I(qtiq))PE$dj%1~#&Z&1_*S+t|(ycCw4z>|rna*v|nDa)`qm;V8#A z&IwL(iqo9oEay1S1uk-l%Ut0q*SO9NZgPv;+~F?wxX%L~@`%Sg;VI8}&I?}hir2j1 zE$?{G2R`zN&wSx4-}ufCe)5ao{NXSE@h?EYFaZciAOaJFpadg0AqYt*LKB9tgd;o= zh)5(N6NRWmBRVmNNi1R$hq%NeJ_$%jA`+8?q$DFbDM(2wQj>hfil%qTqs7NI$Q-!KjqdGOHNiAwq zhq~0GJ`HF{BO23$rZl5DEoezATGNKMw4*&8=tw6z(}k{dqdPt5NiTZShraZqKLZ%Z zAO&aK$t-3whq=sSJ_}gLA{MiRr7UAP zD_F@YR>(8$u4%YhrR4$KLeQenwWv)U>QayTG@v1k zXiO8D(v0S`pe3znO&i+Mj`nn*Bc13>7rN4o?)0E1z35FJ`qGd73}7IG7|alcGK}Gj zU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1@BH8= zzxd4`{_-FH0t5^ffPe%dFhK}PFoF|;kc1*MVF*h&!V`grL?SX#h)Oh~6N8wF-b^DGLn;ml%ygxX-G>t(vyLVWFj+J$VxV{lY^Y(A~$)+OFr^bfPxgF zFhwXzF^W@yl9Zw}WhhHI%2R=gRH8Cfs7f`eQ-hk+qBeD?OFin-fQB@pF->SnGn&(a zmb9WZZD>n7+S7rKbfPm|=t?)b(}SM$qBni$OF#NEfPoBRFhdy1ForXNk&I$AV;IXg z#xsG5Oky%qn94M!GlQATVm5P_%RJ_@fQ2k#F-us=GM2M~m8@blYgo%V*0X_)Y+^H8 z*vdAxvxA-NVmEu(%RcsVfP)<3Fh@AbF^+SBlbqr-XE@6_&U1l_T;eiUxXLxIbAy}Q z;x>1<%RTP%fQLNdF;95PGoJH;m%QRNZ+Oc)-t&QveBv`-_{ulF^MjxK;x~Wz%YXa} z5HNfI0uqS81R*HF2u=t>5{l4-AuQntPXrvz-t?g_{pimC1~Q1j3}Gn47|sYrGK$fRVJzbq&jcniiOEc1 zD$|(G3}!Nm+00=s^O(;97P5%NEMY0jSk4MovWnHLVJ+)e&jvQKiOpTwNFfSSgrXFqI3*}aDN0j@vXrAd z6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)& zbfY^x=t(bn(}%wFqdx-}$RGwYgrN*$I3pOzC`L1ev5aFp6PU;(CNqVpOk+ATn8_?= zGl#j%V?GO5$RZZAgrzKFIV)JnDps?GwX9=38`#JuHnWATY-2k+*vT$-vxmLxV?PHt z$RQ4MgrgkeI43yCDNb{Svz+5R7r4kJE^~#eT;n=7xXCSUbBDX!<30~~$Ri%}gr_{? zIWKt0D_--4x4h#$ANa^8KJ$gIeB(Pm_{lGR^M}9u$G-ppBL*NKfe1_xf)b42gdilL z2u&Em5{~dhAR>{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*Fb zAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9 zjq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!R zANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@| zi&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9S zoZuv;3J>-%oo1$jqm*6C%^d3AO7+m{{jSz6o7yPA}~P+N-%;Gf{=tFG+_u!IKmTw zh(sbXQHV-3q7#Fd#3D9vh)X=;lYoRIA~8uwN-~m@f|R5pHEBpoI?|JYjASA+S;$H@ zvXg_HI4f|8V?G-W7DIm%Okid3R9Rj5ies#AlS)S@Q6^rAO?=u1EPGk}2% zVlYD($}omAf{~13G-DXcIL0%9iA-WLQ<%y$rZa|!^2*vmflbAW>!;xI=z$}x^}f|H!$G-o)= zInHx|i(KL|SGdYGu5*K%+~PKOxXV56^MHpu;xSKn$}^txf|tDFHE(#!JKpnwk9^`Y zU--&5zVm~h{Ngu%_{)F%3lK1J00I(-zyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>` zP7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WP zFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ z#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A91Fa7Ax00uIM!3<$2!x+v8 zMly=gjA1O}7|#SIGKtAdVJg#@&J1QUi`mR!F7uer0v57}#Vlbd%UI3|Rlxi$tXrMhOvxe zJQJA6BqlS3sZ3)!GnmONW;2Jm%ws+aSjZw4vxKEAV>v5W$tqT}hPA9?Jsa4_CN{H$ zt!!gEJJ`uCcC&}Q>|;L%ILILmbA+QD<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|y zZgYpb+~YnEc*r9j^Mt27<2f&Q$tzy-hPS-qJsKlsTne)EUF{Kvll z0iy;WAb|)>5P}kn;DjI~p$JVF!V-?~L?9xOh)fis5{>A@ASSVhO&sD9kN6}YA&E## z5|WaP>6Q1&n=e*!0uXxQH-tvz3eBdLW_{76<6rwOiC`vJkQ-YF|qBLbFOF7C@ zfr?b3GF7NbHL6pCn$)5;b*M`{>eGORG@>z0Xi77h(}I??qBU)3OFP=rfsS;dGhOIP zH@eeEMhTBSjsY%vx1eZVl``6%R1JxfsJfpGh5ioHny{ao$O*ad)Ui9_H%%P z9O5uXILa}ObApqc;xuPC%Q?<-fs0(?GFQ0DHLi1mo800yceu+v?(=|$JmN7=c*--L z^MaSW;x%u0%RAolfscIRGhg`1H@@?OpZwxCfB4IP{0k5;dH@0vh`h{PlzDalAq3R04a)TALT=}1oo zGLnhRWFafr$W9J&l8fBrAusvJPXP*2h{6=1D8(pF2})9m(v+br zs7?)PQj6Nup)U2PPXij#h{iObDa~k33tG~O*0iB5?PyO2I?{>GbfGKV=uQuM(u>~o zp)dXD&j1E8h`|hDD8m@e2u3oB(Trg%;~38bCNhc1Okpb1n9dAlGK<;FVJ`ES&jJ>* zh{Y^nDa%;S3Rbd;)vRGH>sZeQHnNG$Y+)*>T;VF$xXul3a*NyC;V$>M&jTLvh{rtPDbIM$3tsYy*Sz5^ z?|9D#KJtmreBmqK_|6Z0@{8a6;V=L3FF?Q;0SHJS0uzLw1S2>h2uUbH6Na#aBRmm^ zNF*W?g{VX$Ix&bzEMgOfxWpqq2}npH5|f0aBqKQ~NJ%PElZLdUBRv_&NG39qg{)*F zJ2}WnE^?EHyyPQ41t>@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Q~9OF1AILRqabB42= z<2)C*$R#dwg{xfSIybnC)00CnLARvJVOb~(+jNpVIB%ugR7{U^c@I)XYk%&wbq7seh z#2_ZIh)o>g5|8*KAR&oJOcIikjO3&sC8HNAm8eV=s#1;W)SxD{s7)Q}QjhvHpdpQD zOcR>YjOMhUC9P;p8`{#2_H>{lo#;##y3&pA^q?ob=uIE`(vSWOU?77S%n*h$jNy!6 zB%>J37{)S=@l0SMlbFmDrZSD`%wQ(7n9UsKGLQKzU?GcG%o3KejODCgC97D?8rHIo z^=x1xo7l`2wz7@w>|iIm*v%gHvXA{7;2?)M%n^=qjN_c(B&Rsd8P0N!^IYH}m$=Lo zu5yj*+~6j+xXm5za*z8w;31EA%oCpSjOV=IC9inR8{YDc_k7?ZpZLrdzVeOl{NN|Q z_{|^w@*n>K1dJ7cfCM5iK?q7Pf)j#}gd#Ly2unD^6M=|CA~I2kN;IMqgP6o3HgSkc zJmQmpgd`#{Nk~dEl9Pgzq#`wGNJ~1>lYxw6A~RXYN;a~SgPi0dH+jfQKJrt5f)t`K zMJP%!ic^A;l%h0cC`&oYQ-O+9qB2#eN;RregPPQ$Hg%{=J?hhdhBTrvO=wCpn$v=o zw4ya_XiGcV(}9k3qBC9SN;kUGgP!!FH+|?!Kl(F(fed0WLm0|1hBJbZjAArn7|S@u zGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%rvw@9lVl!LV z$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb$~CTYgPYvq zHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83H-GrcfBXv& zFm?a}5{SSAAt=EJP6$F0iqM21Ea3=G1R@fN$V4G3(TGkAViJqk#33&6h))6%l8D44 zAt}j7P6|?ziqxbbE$K*41~QU~%w!=e*~m@~a*~VODP6JlYEp~Z)S)i*s80hL(ul@1p()L1P77Mniq^EDE$wJe z2RhP;&UB$G-RMpadeV#D^r0{P=+6KKGKj$pVJO2G&Im>_iqVW=EaMo@1ST?x$xLA? z)0oZ-W-^P}%waC`n9l+hvWUejVJXX4&I(qtiq))PE$dj%1~#&Z&1_*S+t|(ycCw4z z>|rna*v|nDa)`qm;V8#A&IwL(iqo9oEay1S1uk-l%Ut0q*SO9NZgPv;+~F?wxX%L~ z@`%Sg;VI8}&I?}hir2j1E$?{G2R`zN&wSx4-}ufCe)5ao{NXSE@h?EYH~|PqAOaJF zpadg0AqYt*LKB9tgd;o=h)5(N6NRWmBRVmNNi1R$hq%NeJ_$%jA`+8?q$DFbDM(2w zQj>hfil%qTq zs7NI$Q-!KjqdGOHNiAwqhq~0GJ`HF{BO23$rZl5DEoezATGNKMw4*&8=tw6z(}k{d zqdPt5NiTZShraZqKLZ%ZAO&aK$t-3w zhq=sSJ_}gLA{MiRr7UAPD_F@YR>(8$u4%YhrR4$KLeQenwWv)U>QayTG@v1kXiO8D(v0S`pe3znO&i+Mj`nn*Bc13>7rN4o?)0E1z35FJ z`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsv zSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkG zj`w`vBcJ%p7rye1@BH8=zxd4`{_-FH0tAc~fPe%dFhK}PFoF|;kc1*MVF*h&!V`gr zL?SX#h)Oh~6N8wF-b^DGLn;ml%ygxX-G>t(vyLVWFj+J$VxV{ zlY^Y(A~$)+OFr^bfPxgFFhwXzF^W@yl9Zw}WhhHI%2R=gRH8Cfs7f`eQ-hk+qBeD? zOFin-fQB@pF->SnGn&(amb9WZZD>n7+S7rKbfPm|=t?)b(}SM$qBni$OF#NEfPoBR zFhdy1ForXNk&I$AV;IXg#xsG5Oky%qn94M!GlQATVm5P_%RJ_@fQ2k#F-us=GM2M~ zm8@blYgo%V*0X_)Y+^H8*vdAxvxA-NVmEu(%RcsVfP)<3Fh@AbF^+SBlbqr-XE@6_ z&U1l_T;eiUxXLxIbAy}Q;x>1<%RTP%fQLNdG5=#29)QF!004mf*S2ljwr$(CZQHhO z+tyZdTWuRl?()z_KK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_Kw!cE z0}WzOgBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHU zrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{B zS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6f zVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1* z10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;$QylRj+y78{YJmx4q+C?|I(`{^P&? z=R+U)*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfr$bPG>AbBW^h9o z(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1 zdNY{OOlCHVS;Xt zRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n z_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WP zxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4 z=5bGW(o>%HjAuRPc`ta;OJ4ShfBCmpz2T{rZt`E&0t0|nb|C6 zHJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU z+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+ zKnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD z%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGui zp7osPz2HSJdD$!e<=cSIJKpu4_kG|${_B4}^pTH!;!~gb+!wy|m9Kr{ zTi^NK4}SEMpZ(%jzxmxC{`8l>{Sy$FB)~v}7}Q_}H-sS#WoW|~)^LV5f)R~mWTP0> zXht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm z^O)Cs=C^sZ%%*0+HT zZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVd zcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@s`e|yzyUiXGKz2$B1c-MR0_ksWTumAbbM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>( z_KRQr=68Si(_jAfPe5SO00RwTP=guV5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2aZ~?NzUN z-5cKYmbbm*UGI6{2ma%~{^vs<`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiF zfBD-#0fET^3^a&A4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCM zCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~` zSkh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TihudHSH0$SZ+O#N-u8}n zz2|)&_>cekpAUWHW1slcXFm6ZFMZ`}-}u&dzW0M4{p4rA_|#jin86KUNJAOgFordp;f-KKBN^E!Mm3tzjbTh<8QVCiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR z<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PT zcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^ z;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3{^j3Z^_thc;Z1LO+dJO%p7(v=KmO}~ zKJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sm?FSHgBa9c1~-Hu z4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3O zZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_3K z2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHW zvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$j ztz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*U zbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn z+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8 zS~1<6ZB0-v|EVzy9Y#ANklPKJ}T;ec?-A`Pw(W z^_}ni;733C*)M+eo8SH6Pk;H_KLLTM0t_^WK@DbbLm1LfhBl004QF^G7|}>ZHi}V= zW^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@ zk9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-& zMmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRD zM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)U zUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE< z_KJV`w^zO9b#HjnTi*7LcfIF*ANY^|`kxPdOYv1_RcfR+7AN}NK zzxdT}e)oqz{pD}}1O%oIFwh_dHJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x z#x-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rG zoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?H zEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TEB@u*UiF&S zz2Qx7dD}bQ^`7^A;6MKBe?Ih)kA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+ zm%sfJ5SS*wK!X_6U!;fCVjNVT)MQVivcA zB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82 zwzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSv zIMr!RcZM^asj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8i zSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WU;gb?uX)`Y-t?BYz2jZ)dEW>AiNih(Qfza6=f< zP=+>)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K( zGnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R& zt!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2 zwvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q z&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|r< zaZh;CQ=ayWXFcb6FL=>QUiONA`L|cS=5=p)(_7y5j(5H1eINLb|N5T~edJ@G_|#`U z_k}NgZ+`cOKmFxz{{#f44=~Um1~r($4Pi(_8QL(0HJss% zU_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!? zo7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@( zI@YzG^=)878`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c z^_=Iu;6*Qa*(?6#-(K~a*S+CQZ+Y80-u0gMec(U->wiA^q0T=6A+joz(9i-)L;fTgdq)OXu}xRaE3R65shSIqZrj_ zMmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$ znAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09 zWMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJ zwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii z;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;$QylRj+y7 z8{YJmx4q+C?|I(`{^P&?=R+U)*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4 z`P)AMftdmfG>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKf zHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjb zdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc( zQ=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC z?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShfBCmpz2T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMa ztzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03 zwVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a z+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)F zK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$!e<=cSIJKpu4_kG|${_B4} z^pTH!;!~gb+!wy|m9Kr{Ti^NK4}SEMpZ(%jzxmxC{`8l>{Sy$FCBQ&~7}Q_}H-sS# zWoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&f zgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0 z``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+e zUF2eyxYT7XcZDlm+4Jc+_Ja z_k<@s`e|yzyUiXGKz2$B1c-MR0_ksWTumAbbM?Ut6PkrWd zU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe5SS00RwTP=guV5Qa3Ap$%hL!x`QP zMl_O)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y z&w1VpUi6Zez2aZ~?NzUN-5cKYmbbm*UGI6{2ma%~{^vs<`Pe5u^_kCo;Y(ln+Bd%S zo$vkNM?d-5FMjo#-~HiFfBD-#0fE^93^a&A4Q6md7}8LNHjH5nXLutR(MU!%icyVb zbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9 zdCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+T zihudHSH0$SZ+O#N-u8}nz2|)&_>cekpAUWHW1slcXFm6ZFMZ`}-}u&dzW0M4{p4rA z_|#jin86KUNJAOgFordp;f-KKBN^E!Mm3tzjbTh<8QVC< zHJiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6 z*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayos zOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd z{nsHLi7?>)qf+H@Vp@ zZgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3{^j3Z^_thc z;Z1LO+dJO%p7(v=KmO}~KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0 zzx@*sm?OYIgBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{T zlbFZDniQ*w%Ko zw}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_3K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrX zm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}8 z3Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>* zyV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWu zo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG z^pJ-=;!%%z+!LPkl&3x8S~1<6ZB0-v|EVzy9Y# zANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLTc0t_^WK@DbbLm1Lf zhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&D zn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7) zW_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$r zkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RT zMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0o zPk7Q(p7xAqJ?D8Zc+pE<_KJV`w^zO9b#HjnTi*7LcfIF*ANY^|`kxPdOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O(;|Fwh_dHJHH-VMs$6+AxMSoZ*dN zL?ap5C`L7!(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF* zIoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau z;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@ zoaepZMK5{TEB@u*UiF&Sz2Qx7dD}bQ^`7^A;6MKBe?Ih)kA31(pZVMuzVwx^edAl- z`Q8tH^pl_c;#a@<-5>t+m%sfJ5SS;xK!X_6U!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeB zW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehM zBOT>v$2it;j(36+o#bSvIMr!RcZM^asj9hHnfqAZDLcK+1wVk zw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WU;gb?uX)`Y z-t?BYz2jZ)dEW>A)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pIS ziAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6az zQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_J zJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@ zo#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$k zc8`1A=Y9`(&_f>fh(|rQUiONA`L|cS=5=p)(_7y5j(5H1 zeINLb|N5T~edJ@G_|#`U_k}NgZ+`cOKmFxz{{#f)4=~Um z1~r($4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t? znc6g_HJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUx zU_~og*(z4Gn$@jgO>0@(I@YzG^=)878`;<&tPItN6J??d%`#s=6 z4|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(?6#-(K~a*S+CQZ+Y80-u0gMec(U->wiA< zk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A)M+z(9i-)L;fTgdq)O zXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h z8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@) zR=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK z*w=pccYp&OOYv1_RcfR+7AN}NKzxdT} ze)oqz{pD}}1OyfgFwh_dHJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~ zL?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwHemw&t3HU8tju63R3-QY$y zx!Emlb(`DW;ZAqC+db}epZh)Fe;)LZhdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC z-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ z5LhU{K!X_6U!;fCVjNVT)MQVivcAB`sxX z%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F z?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!R zcZM^acd()^)CTgB#uCX1BQ2ZEkmm zJKg1O_qf-6?)QNIdC)^1_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}n zz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW z9`>}Cz3pRP``OVK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&Cr zCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;MFI>oh(Qfza6=f) zVGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm# zW;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y= zSkqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7 zXMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynG ziA!DPa#y(0RsQAQu6B+8_^)eS=Xy7|(M@i4i(B31c6YebUG8>|d)?=L5BQ%4J>+4J zc+_Ja_k<@sZ+`cOKmFxz{{#dU4KUCk1~r($4Pi(_8QL(0HJss%U_>Js z*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_ zPIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG z^=)878`;<;zpizi>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;C~+UkcU0uQIC1t6Q1;x zr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&AT ze)N-{{o+@@`Q0D>^q0T=6A)M|z(9i-)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5r zjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#f zw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy z)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4 zZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^`u`M0ZG<3IlETGzSW4Q_Ok zo8978x4GRN?sS*C-Q!;Ox!(i+=Rps7*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&S zz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AM zfh7VAG>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11 zW^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?C zPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5? zXE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(pCQD->!Cz|M;(KUFUi?xY12+c8gox=5}|u z(_QX%k9*zceh>Jc2R-Crk9gE$9`}SNJ>_Z7c-C{C_ktI_T{ zrZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnf zS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1T zVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4 zp7UMcLKnH%B`$TD%U$70SNWHJyV^DWY*H^pJ-=;!%%z+!LPkl&3x8S{Sy#aD!@R47}Q_}H-sS#WoW|~ z)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_a zX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih z_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2ey zxYT7XcZDlm(_KRQr=68Si(_jAfPe5Sl00RwTP=guV5Qa3Ap$%hL!x`QPMl_O< zjbc=z8QmDhG?uZAV_f4I-vlN!k%>)WQj?k76s9zlsZC>A)0y53W;BzT&0sr^j-VJValbhY*R=2s`9qx3OyWQhn_qpE#{^vmtdDtT!^_a&!;Ym+< z+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkN zM?d-5FMjo#-~HiFfBD-#0fA)#3^a&A4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFP zSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j z3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&#jin86KUNJAOgFordp;f-KKBN^E!Mm3tzjbTh<8QVCiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9S zn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96 zHnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd}-pf4kZ>{^P%{b)D&tPItN6J??d%`#s=)9`ulhJ>pT1dE678^pvMP<5|yn-V0vzl9#>WRj+y7 z8{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s zST4XogBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+ zWM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0*Xb*^`V8{On)x46}9Zg+<} z-Q{lgxYvE|_kjO-&_f>fh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf* zTGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj| zm91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y z_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~R zIo|~?bdif);!>Bn+!d~Lm4ErSt6k$i{_9%Tx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1* z1ODeh4|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklP zKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLRi0t_^WK@DbbLm1LfhBl00 z4QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pT zHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>= z(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZD ze+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%U zOI_x2SGdwu{^j4Uc8&k|uWMcBdN;VyO>TCJTixb%cevAC?skuR-RFJ}_@4(oO zYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O!$LFwh_dHJHH-VMs$6+AxMSoZ*dNL?ap5 zC`L7!(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoKf% zb(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwHe zmw&t3HU8tju63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)Fe;)LZhdts^k9ph^p7fNb zJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH z^pl_c;#a@<-5>t+m%sfJ5LhX|K!X_6U!; zfCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2 zW;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v z$2it;j(36+o#bSvIMr!RcZM^acd( z)^)CTgB#uCX1BQ2ZEkmmJKg1O_qf-6?)QNIdC)^1_J~J4=5bGW(o>%HjAuRPc`ta; zOJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%G zV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OVK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc z;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz; zRRRn&h(Qfza6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhan za#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%i zWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``q zcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3B zIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0RsQAQu6B+8_^)eS=Xy7|(M@i4i(B31c6Yeb zUG8>|d)?=L5BQ%4J>+4Jc+_Ja_k<@sZ+`cOKmFxz{{#e94KUCk1~r($ z4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_ zHJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og z*(z4Gn$@jgO>0@(I@YzG^=)878`;<;zpizi>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^ z;C~+UkcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`i zQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A)M}z(9i-)L;fTgdq)OXu}xR zaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7 zvzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*V ztz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pc zcYp&O6g(w33yrVpXeI-5S=kmbI;8UF%uj z1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^`u z`M0ZG<3IlETGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(i+=Rps7*dreGn8!WgNl$s& zGoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFM zKl#}&e)XH*{ozl4`P)AMfi(gQG>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv| z#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz` zW^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3f zjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(pCQD->!Cz|M;(K zUFUi?xY12+c8gox=5}|u(_QX%k9*zceh>Jc2R-Crk9gE$9`}SNJ>_Z7c-C{C_ktI_ zT{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon z#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+ zwzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?# zIoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SNWHJyV^DWY*H^pJ-=;!%%z+!LPkl&3x8S{Sy#a zE5Ja57}Q_}H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@ zH-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T; zXS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyB zGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm(_KRQr=68Si(_jAfPe5Sp00RwTP=guV z5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A z)0y53W;BzT&0sr^j-VJValbhY*R=2s`9qx3OyWQhn_qpE# z{^vmtdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u z^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fBV_3^a&A4Q6md7}8LNHjH5n zXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DG zi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&#jin86KUNJAOgFordp;f-KKBN^E! zMm3tzjbTh<8QVCiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ zncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5D zU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#e zn8O|6NJlx^F^+Yd}-p zf4kZ>{^P%{b)D&tPItN6J??d%`#s=)9`ulhJ>pT1dE678^pvMP z<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8C zlb`+KSHJn)AO7^0zx@*sSTDdpgBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0 zY~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}p zIM#8FcY+h0*X zb*^`V8{On)x46}9Zg+<}-Q{lgxYvE|_kjO-&_f>fh(|rQ zUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZ zG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4( z+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@K zTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9 zlbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm4ErSt6k$i{_9%Tx!w(Kbd#Ii z;#Rl0-5u_9m%H8LUiZ1*1ODeh4|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMI zO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLRa z0t_^WK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ## zEoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQ zwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K` z(^<}Tj&q&od>6RTMJ{%U|1%5^Kw=mG0Kooh+qP}nwr$&Xw_>Z!)wXThwr#gd?y|sz zE^@I;TpIuF!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD9`dk9JnAuz zd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@ZhA^a|3~d<08qV-WFrtx+Y!ss! z&FIE3rm>7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`Zlnk zjcjZao7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgBeH$i*&ksmomM z3Rk+y)vj@^>s;>!H@eBqZgH#I-0lu{y35_}aj*N_?*R{b$ip7-sK-3+2~T>;)1L9H z=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w3t#%m*S_(s?|knEKl;hf ze(|f{{O%8b`pe(`2?%TyV4y(^YA}Nv!jOhCv|$WuIKvykh(Q%yW7K__OiEq>}x;!JHUYsaM(~p!jb;v-;Q#$ zV;t*0{_8l$JHd%gaNKZ2!qL3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKt zFMsM0~^}N#x}93&1`N9TiVLjwy~}4 zY;OlU+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7iNBWn4JIc|HajgINuj3r= z1SdMl$xd;q)12-MXFAK-&T+2uobP`waG{G_>=Ku{%;m0drK?=+8rQnc^=@#Zo80Uc zx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX8P9so^Iq_xm%Qv1uX@ev-teZk zyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2{OzBBz$O6( z8pNOmGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~#xuSNOlTq#o5Z9hGr1{D zX)05j#xv#Od)vpp_OrhO9OxhiJH(+5bGRcM>0kcsC`UWSvHs(~j&r;doaiJcJH@F^ zbGkE}=`3eE$GOgPzW=$vg)VZjOI+$Qm%GB1u5z_&TNdB#!=3JO zw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5O# zhd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cxn+6zY5Q7@b;D#`y zp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv5|f(D86Pw!1=C-h}(gi+Rg6vu&2H3 zZ6EvE&;AZ@po1Lj5QjR<;f`>mfBCng9PJp#`j7uQ&hbugqLZBL6sJ1P>CSMbvz+Z5 z=Q_{%{^tT0y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%U)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa z4sx(V9O^KKJHnCv<=>8Sv|}9WKmO}D$2-A^PI9tSoa!{EJHwgIa<+4v>pbWCp9@^* zA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG>$Gz@zzXv?%ArE`RqaO3P zCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7KrpBOm+3r#|z!FMR1MU;D~0Tx+RNVdv9JB??*Ion$iWVAsKXrY z2uJ#te>=+2j&ZF2_^;y}?*u10$;nP}s?(hA3}-sa+0JpU^PKO0E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-tJnRvVdd%aV@T8|a?HSK{ z&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP@TISO?Hk|v&i8)sqo4fj z7r*+=@BZ+ozx?f=fWQ_31{%bm1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDtEMptT zxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG z3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`c zY-uZ7+s3xGv%MYcXeT?{#jbXpu5;z=Iz0utz-VF^_w~lb-UlXFTgU&wIg(Uh=Y6 zyy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J-}}Lje)6+l{OUKq`@^69 z^0$8i0$TZ~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68> z_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3q<{Ihqa5uR$NG=|I?nM< zaH5l(>=dUu&FRi?rn8*w9OpXE`Tpku7rMyBE^(>LTSM9`UHhJnjikddkzD@vP@O?*%V<$;)2xs@J^k4R3nO z+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$EENeN- zTfvG}va(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6 zYB#&v!=CoCw|(quKl?ktfev!8LmcWbhdaWN{^j3}ap%YMILAA|iB58|Q=IBF zr#r)$&T_VMoa;R2`=1M3=pq-p#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5HZgaak-03cN zyT`rmbH4{X=phe##G@YbxFpxtmQ0k1uI&~%2u(e z)vRs}Yg)_N*0HYjtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1 z_OY-1?C$^vI>^Beaj3%_?g&Tvmw!9T(T;Jf|M;)t9Pb1tI?2gSajMgt?hI!-%h}Fx zuJfGle=cyLi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k9{0M>{T}e3 zhdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK99q)S2`#$iYk9_PCpZd(_ zzVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMbzN0R|expawIzAq;6KLmS4hhBLeo zjA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9Q=7)LrZc@6%xESvo5ieV zGrKv=X)be{$GqkBOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfT zAO}0dp$>DnBOK{p{_QA7JI1m8N1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(#-0ME~d%%Mp@~}rd>M@Ud z!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j^07~R>NB7F!k51CwQqdu zJKy`kkACvAU;OGfzx%_V{_?kf0s`9x7-$fK8qDB^Fr=XjZ5YEE&hSPsqLGYj6r&o= z=*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5qnXTX7PFeo?B+11xy)@I z^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?M zY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc?)I>!z3got``XX`4sf7@9PAK>I?UmY zaHN0vx1${G7{~gL|2od`PH>`=oa_{*I?d_MaHg}I?HuPi&-wo60vEc-#V&EF%UtdX zSGvm8u5qpFT<-=qy2;IMajV^xvbJ@sYd!1Rz=k%mu}y4hGn?DO zmbS9BZER~h+uOm8cCxcw>}of=+rysrvbTNgYd`xtz<~~OutOZ`Fo!$Bk^bf1j&ihP z9P2;+>o~_d!HG_CvQwPuG^abmna*;ybDZlu=lh=vT<9VfyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xny&wGOCqMheuYU8pKm6%0 zfBPpOuzi4m1~I6?3~mTR8p_ayF|6SXZv-P6$;d`As?m&Y3}YJ0*v2ug@r-W*6Pn1x zCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_`OI$t3tGs+7O|+sEN%%) zTFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI^{j6L8`{XmHnFMAY;Fr%+RE0pv90ZF zZwEWt$w@%F&K-tpE70;~eh< zCpyW=PI0Q!obC)~I?LJ4ajx^6?|&|Ep^IGX5|_Hn<*sn0t6c3G*SgO2Zg8WU-0T*& zy3OtGaHqT6?H>2K&;1_opocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$*#G)3nxFsxUDN9?%vX-;F z6|86_D_g~?R%Xd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#s zcC))Z>}fB1+sD54v%doz=pY9>#GwvzxFa0tU;gbVM?1!`{^P%nbG#Fr=p-jQ#i>qn zx-*>VEN45%xz2OG|GB`0E^@I;TpIuF!HsTmvs>KiHn+ROo$hkC zd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@ZhA^a| z3~d<08qV-WFrtx+Y!ss!&FIE3rm>7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`ZlnkjcjZao7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icL zAN$(R{tj@UgBeH$i*&ksmomM3Rk+y)vj@^>s;>!H@eBqZgH#I-0lu{y35_}aj*N_?*R{b z$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w z3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?*>IV4y(^YA}Nv!jOhCv|$WuIKvyk zh(Q%yW7K__OiEq>}x;!JHUYs zaM(~p!jb;v-;Q#$V;t*0{_8l$JHd%gaNKZ2!qL3tZ?T z7rVrzE_1mnT- zJn1P#jk$zyFdKtFMsM0~^}N z#x}93&1`N9TiVLjwy~}4Y;OlU+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7i zNBWn4JIc|HajgINuj3r=1SdMl$xd;q)12-MXFAK-&T+2uobP`waG{G_>=Ku{%;m0d zrK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX8P9so z^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3> zzxvJZ{_v;2{OzBBz%Bs>8pNOmGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~ z#xuSNOlTq#o5Z9hGr1{DX)05j#xv#Od)vpp_OrhO9OxhiJH(+5bGRcM>0kcsC`UWS zvHs(~j&r;doaiJcJH@F^bGkE}=`3eE$GOgPzW=$vg)VZjOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF z>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0 zw|@cxy9O9&5Q7@b;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv z5|f(D86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>mfBCng9PJp#`j7uQ&hbug zqLZBL6sJ1P>CSMbvz+Z5=Q_{%{^tT0y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%U)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_J zH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnCv<=>8Sv|}9WKmO}D$2-A^PI9tSoa!{E zJHwgIa<+4v>pbWCp9@^*A{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG> z$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7Krp zBOm+3r#|z!FMR1MU;D~0Tx+RNVd zv9JB??*Ion$iWVAsKXrY2uJ#te>=+2j&ZF2_^;y}?*u10$;nP}s?(hA3}-sa+0JpU z^PKO0E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-t zJnRvVdd%aV@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP z@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWRIB1{%bm1~a%J3~4As8^*APGrSRu zXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvC zyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oH zy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYcXeT?{#jbXpu5;z=Iz0utz-VF^_w~ zlb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J z-}}Lje)6+l{OUKq`@^69^0$8i0(%A+Xb^)M%;1JFq@fIL7{eOQ@J2AAk&J8Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4? zY!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3 zq<{Ihqa5uR$NG=|I?nM=dUu&FRi?rn8*w9OpXE`Tpku7rMyBE^(>LTSM9`UHhJnjikddkzD@vP@O z?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$=j_3K@4gzgB!w-hBCBa3~M;U8^MT1GO|&OYBZx8!EENeN-TfvG}va(gIYBj4{!Am2o7=*c zwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8LmcWbhdaWN{^j3}ap%YMILAA|iB58|Q=IBFr#r)$&T_VMoa;R2`=1M3=pq-p#HB8Cxhq`hDp$M4wXSo$ z8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxFpxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYjtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y z2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&Tvmw!9T(T;Jf|M;)t9Pb1t zI?2gSajMgt?hI!-%h}FxuJfGle=cyLi(Kpym%7a5u5hKRT=w7W z&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK9 z9q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMbzV0R|ex zpawIzAq;6KLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9 zQ=7)LrZc@6%xESvo5ieVGrKv=X)be{$GqkBOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SS zv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK{p{_QA7JI1m8N1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(# z-0ME~d%%Mp@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j z^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s{L67-$fK8qDB^Fr=Xj zZ5YEE&hSPsqLGYj6r&o==*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5 zqnXTX7PFeo?B+11xy)@I^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j! z>ejHPwXAI&>srtHHn5?MY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc?)I>!z3got z``XX`4sf7@9PAK>I?UmYaHN0vx1${G7{~gL|2od`PH>`=oa_{*I?d_MaHg}I?HuPi z&-wo60vEc-#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajV^xvbJ@s zYd!1Rz=k%mu}y4hGn?DOmbS9BZER~h+uOm8cCxcw>}of=+rysrvbTNgYd`xtz<~~O zutOZ`Fo!$Bk^bf1j&ihP9P2;+>o~_d!HG_CvQwPuG^abmna*;ybDZlu=lh=vT<9Vf zyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG z=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xn zy&wGOCqMheuYU8pKm6%0fBPpOuz!Go1~I6?3~mTR8p_ayF|6SXZv-P6$;d`As?m&Y z3}YJ0*v2ug@r-W*6Pn1xCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_ z`OI$t3tGs+7O|+sEN%%)TFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI^{j6L8`{Xm zHnFMAY;Fr%+RE0pv90ZFZwEWt$w@%F&K-tpE70;~eh2K&;1_opocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$* z#G)3nxFsxUDN9?%vX-;F6|86_D_g~?R%Xd@fj#HKd0xh-sI zD_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9>*Otj&+>lo!~?#IoT;rb(+(i z;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}e zpZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&Cr zCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;eF6+Lh(Qfza6=f) zVGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm# zW;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y= zSkqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcJ?p-wu@cuW_SPbUwhco zUiS7s``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S z&Ub+eUF2eyxYT7XcZDlm+4J zc+_Ja_k<@sZ+`cOKmFxz{{#f~4KUCk1~r($4Pi(_8QL(0HJss%U_>Js z*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_ zPIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG z^=)878`;<-TlXZ?O{)Q+1vl@V_*B( z-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu!o#IrdIo%n~be6N7<6P%C-vusok&9j8 zQkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^beFr`<6if<-vb`>kcU0uQIC1t6Q1;x zr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&AT ze)N-{{o+@@`Q0D>^q0T=6A;)hz(9i-)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5r zjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#f zw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy z)Mhrfg)MDmYunh?cDA>J9qnXi|MG9U*wt=!_aFbYhdu3OZ~wE8eeGv|2RP6{4t9t` z9p-RHIMPv$c8p^k=XfVL(Me8ric_8DbZ0ozS|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9 z(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcb zcYpZPU;g$_Kw$p>0}WzOgBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv z1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%H zi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4 zZDU*8+1?Izw3D6v%fIbnSG(EWfBe@T_OzG1{m(x3wV(YR;6MjC*dY#en8O|6NJlx^ zF^+Yd{nsHLi7?>)qf+ zH@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&S zz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AM zfdc{zG>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11 zW^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?C zPImS$|F(-=?PhoX@n3t`(_Z%WKl|9%e)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0 z_Z7c-C{C_ktI_T{ zrZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnf zS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1bDR+b(vs zo8A4#f9+vUd)eFn>|Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;O zx!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy#4D8N927}Q_}H-sS#WoW|~ z)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_a zX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XaDkVyV%ukcK09uwTC_J zWpDqpkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&o zd>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{s zM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5j zzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9<{00RwTP=guV5Qa3Ap$%hL!x`QPMl_O< zjbc=z8QmDhG?uZAV_f4I-vlN!k%>)WQj?k76s9zlsZC>A)0y53W;BzT&0}Cz5UNV_O+k= z9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hx zb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+< z+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkN zM?d-5FMjo#-~HiFfBD-#0f9pT3^a&A4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFP zSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j z3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&uFaNfSUF~Lf|M6dY*wbG2_CNdB*M9bQfCC-mV23!= zVGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a#jin86KUNJAOgFordp;f-KKBN^E!Mm3tzjbTh<8QVCiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9S zn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96 zHnz2$?d@PkJK5R4{M#;ewVU1j$A9f%PkY(h|LkL5``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y7 z8{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s zI4rZDniQ*w%Kow}Tz+ zWM}{KZ@bvlZg%${|Fwrb?PYKOvyXl4XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghb zPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8 zxYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf* zTGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj| zm91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo&C$d?P6EE z+1-Eq*B&tPItN6J??d% z`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklP zKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLRw0t_^WK@DbbLm1LfhBl00 z4QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pT zHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>= z(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQ_Amdoi(TzzcmMHUd)U)n z_Vz#f*w=pccYp&OO zYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O$!@Fwh_dHJHH-VMs$6+AxMSoZ*dNL?ap5 zC`L7!(T!nDV;S2x#x-ScD0+`{l|apVNZM6+yCriU;EkL z0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNb zJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH z^pl_c;#a@<-5>t+m%sfJ5I8EpK!X_6U!; zfCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2 zW;VBlEp26M+t}82wzq>F?PO>F@^8D?)oyn8AOE$7J?&+0|Fe&M?Pq@nIM6{3c8EhA z=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@ zjcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta; zOJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%G zV_VzV-VS!Olb!v`zwKgIyV>1;{MR1#w3og8&p!6GpZy)+KnFS4Ar5tz!yVyBM>*Ot zj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$y zx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc z;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz; zV*(5`h(Qfza6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhan za#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%i zWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``q zcJ?p-wu@cuW_SPbUwhcoUiS7s``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#e%4KUCk1~r($ z4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_ zHJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og z*(z4Gn$@jgO>0@(I@YzG^=)878`;< z-TlXZ?O{)Q+1vl@V_*B(-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu!o#IrdIo%n~ zbe6N7<6P%C-vusok&9j8QkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^beFr`<6if< z-vb`>kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`i zQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A(Bqz(9i-)L;fTgdq)OXu}xR zaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7 zvzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*V ztz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXi|MG9U*wt=!_aFbYhdu3O zZ~wE8eeGv|2RP6{4t9t`9p-RHIMPv$c8p^k=XfVL(Me8ric_8DbZ0ozS|d)?=L4|vc+9`=Yw zJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt z_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;ZZQ0}WzOgBjcqhBTC+4P#it8Qus+G?I~x zVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmL zm$}VjUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj z1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6v%fIbnSG(EWfBe@T_OzG1{m(x3wV(YR z;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s& zGoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFM zKl#}&e)XH*{ozl4`P)AMffE7@G>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv| z#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz` zW^-HE(pI*%jcsjbdpp?CPImS$|F(-=?PhoX@n3t`(_Z%WKl|9%e)e~O10CdGhd9(> z4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_ zT{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon z#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+ zwzZw@?O;bc+1bDR+b(vso8A4#f9+vUd)eFn>|Bn+!d~Lm8)IjTGzSW4Q_Ok zo8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy#4 zDZoI37}Q_}H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@ zH-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T; zXaDkVyV%ukcK09uwTC_JWpDqpkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ? zc8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u z(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1 zeINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9=000RwTP=guV z5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A z)0y53W;BzT&0}Cz5UNV_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc= zXF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0 zJ>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u z^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fAEj3^a&A4Q6md7}8LNHjH5n zXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DG zi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&uFaNfSUF~Lf|M6dY*wbG2 z_CNdB*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a#jin86KUNJAOgFordp;f-KKBN^E! zMm3tzjbTh<8QVCiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ zncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5D zU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5R4{M#;ewVU1j$A9f%PkY(h|LkL5``OpT1dE678^pvMP z<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8C zlb`+KSHJn)AO7^0zx@*sI4!_HgBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0 zY~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM}{KZ@bvlZg%${|Fwrb?PYKOvyXl4XMYDc&_ND%h(jIb za7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8 zYhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQ zUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZ zG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4( z+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@K zTie;*4tBJYo&C$d?P6EE+1-Eq*B&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMI zO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLR= z0t_^WK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ## zEoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQ z_Amdoi(TzzcmMHUd)U)n_Vz#f*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O(0uFwh_dHJHH- zVMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-ScD0+` z{l|apVNZM6+yCriU;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1* z10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31( zpZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5I8HqK!X_6U!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&X zWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO>F@^8D?)oyn8AOE$7J?&+0 z|Fe&M?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WP zxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4 z=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFr zjcsj9h zHnfqA{hwiY020Fh008!1+qP}n)>d}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJm zx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sI48hB zgBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbF-dlVTGx91XMG#k&_*`4iA`;0b6eQbR<^c{ZEa_J zJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@ zo#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$k zc8`1A=Y9`(&_f>fh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{ z3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83jXEaR&tPItN6J??d%`#s=6 z4|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T; zec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLUB0t_^WK@DbbLm1LfhBl004QF^G z7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!! zW_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTv_?LfM(MndfidC&=H%w}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK z*w=pccYp&OOYv1_R zcfR+7AN}NKzxdT}e)oqz{pD}}1O(0xFwh_dHJHH-VMs$6+AxMSoZ*dNL?ap5C`L7! z(T!nDV;S2x#x( zu5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx= zdEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c z;#a@<-5>t+m%sfJ5V#<~K!X_6U!;fCVjN zVT)MQVivcAB`sxX%UITOmbZd``L`9VWM!*Z)oNC^hBd8aZR_}t|6132{%3s~*w98c zwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i z(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-! zdN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4Sh zSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcZubYhCO4pY?5ELmS!HCN{O1&23>z zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>l zo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Eml zb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO z+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;ivkQZ zh(Qfza6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVn zRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lU zEBKdxThU5Zwu)7)W_4>=(^}THj{o?tb*<-r*0+HTZDeDc*wkh=w}mZjWoz5m)^@hH zgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#ds4lvLl1~r($4Pi(_ z8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0r zU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUx;9vf2MJrj^ zDps|c)vaMoYgyYm{^P&awVwZ3-v&0ck&SI)Q=8e`7PhpNt!-ml+u7a@cC?e7?P6EE z+1(!Yw3of@V_*B(-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu!o#IrdIo%n~be6N7 z<6P%C-vusok&9j8QkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^beFr`<6if<-vb`> zkcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V z7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A-v0z(9i-)L;fTgdq)OXu}xRaE3R6 z5shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOv zW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(fBCl+tz>1ZSk-D)w}v&X zWo_&DkN;ZNdj4m98`#iBHnxdPZDw;@*wR+EwvBCVXL~!?(N1=@i(TzzcYD~=UiP++ zeeGv|2RP6{4t9t`9p-RHIMPv$c8p^k=XfVL(Me8ric_8DbZ0ozS|d)?=L4|vc+9`=YwJ?3#w zc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S z=X*c+(NBK%i(mcbcYpZPU;g$_K;Y5<0}WzOgBjcqhBTC+4P#it8Qus+G?I~xVpO9U z-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}Vj zUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6i%<={nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF z=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}& ze)XH*{ozl4`P)AMfy)96G>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;| zjc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS&t!8y=Skqe8wvPY!uXU~Gf7Z8w4Q*s& zo7mK5Hn)W>ZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj z9pz}pIM#8FcY+h0_Z7c-C{C_ktI_T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh; zOIg}7mbIMat>9n&ZAB|t*(z4Gn$@jgO>0@(I{xFo*0rAhS>FaWw2_T%VpE&h+!nU9 zm91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu z2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978 zx4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$lBEUd{ z7}Q_}H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xp zWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^ccu*LwbEeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs z*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ- z=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX% zk9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMH zM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9)WQj?k76s9zlsZC>A)0y53 zW;BzT&0-nGcZD2zi+1MsFwVBOrVM|-t+BUYeo$c*lM?2ZsE_St> z-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB z&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqP zdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo z;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fDOm3^a&A4Q6md7}8LNHjH5nXLutR z(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QP zc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&35mw#K)N>;XtRjp=qYgp4- z*0zrS_^)-X=YQ6>femeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~ z*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a#jin86KUNJAOgFordp;f-KKBN^E!Mm3tz zjbTh<8QVCiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<( zHJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*ndf{%u7oS=lO9wVKtfVNGjU+dBT^zt**$ z|5@J#HnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn z-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+K zSHJn)AO7^0zx@*sxF*0rgBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$ zc*Zw@2~A{TlbF-dlVTGx91XMG#k&_*`4 ziA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@O zQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAh zH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMt zz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r- zVp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_w zl%*|WS<6}83jXEaR&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSI zJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLU30t_^W zK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0J zrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTv z_?LfM(MndfidC&=H%w}B09WMiAy)Mhrfg)MDmYunh?cDA>J z9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O%=RFwh_dHJHH-VMs$6 z+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{ zhdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMu zzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5V#@0K!X_6U!;fCVjNVT)MQVivcAB`sxX%UITOmbZd``L`9VWM!*Z)oNC^hBd8a zZR_}t|6132{%3s~*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G z?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u| zc8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW z(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcZubYhCO4 zpY?5ELmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4 zAr5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70 zSGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osP zz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai z^_$=Q;ZJ}0+dlz;n*t0ph(Qfza6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(P zXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wir zh(#@CaZ6azQkJ%iWi4lUEBKdxThU5Zwu)7)W_4>=(^}THj{o?tb*<-r*0+HTZDeDc z*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4Gy zBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz z{{#eX4lvLl1~r($4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~ zCN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZ zS=us|wVdUx;9vf2MJrj^Dps|c)vaMoYgyYm{^P&awVwZ3-v&0ck&SI)Q=8e`7PhpN zt!-ml+u7a@cC?e7?P6EE+1(!Yw3of@V_*B(-vJJEkb@oKP=`6(5sq|}qaEW|$2r~! zPIQu!o#IrdIo%n~be6N7<6P%C-vusok&9j8QkS{h6|QuZt6k$-*SX#eZgi8I-Qrfa zx!oP^beFr`<6if<-vb`>kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1 z<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A-v1z(9i- z)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#C zYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG( zfBCl+tz>1ZSk-D)w}v&XWo_&DkN;ZNdj4m98`#iBHnxdPZDw;@*wR+EwvBCVXL~!? z(N1=@i(TzzcYD~=UiP++eeGv|2RP6{4t9t`9p-RHIMPv$c8p^k=XfVL(Me8ric_8D zbZ0ozS| zd)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHm zKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;YH@0}WzOgBjcqhBTC+ z4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$ zG?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6i%<={nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0 z*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RU zOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMf!hKMG>AbBW^h9o(olvrjA0FDcq16m zNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS&t!8y=Skqe8 zwvPY!uXU~Gf7Z8w4Q*s&o7mK5Hn)W>ZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHS ze)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqq zpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMat>9n&ZAB|t*(z4Gn$@jgO>0@(I{xFo*0rAh zS>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA` z;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~L zm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$lBfvm|7}Q_}H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X? z#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^ccu*LwbEeH+-&MmDyI zO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^ zj&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi? zxY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`% z=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAf zPe9<#00RwTP=guV5Qa3Ap$%hL!x`QPMl_O)W zQj?k76s9zlsZC>A)0y53W;BzT&0-nGcZD2zi+1MsFwVBOrVM|-t z+BUYeo$c*lM?2ZsE_St>-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~ zL?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2 z+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80 z-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fD;$3^a&A z4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|H zHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&35 zmw#K)N>;XtRjp=qYgp4-*0zrS_^)-X=YQ6>femeBW1HC2W;VBlEp26M+t}82wzq>F z?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!R zcZM^a#jin86KUNJAOg zFordp;f-KKBN^E!Mm3tzjbTh<8QVCiEIG^RD3>CIq9 zGnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6_ZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(> z4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_ zT{rZt`E&0t3V@^3Sl*(_!?o7v4_PIH;tJm&Qu|Mfrfnco5y zw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h z+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNv zSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Ok zo8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$l zH^4xH7}Q_}H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@ zH-#xpWopxy)^w&fgBktHzs+Q3vzXOvW;cg9&1G)$nAd;&*Z<6CehXO8LKe1&MJ;A= zOIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJ zZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ? zc8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u z(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1 zeINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9)WQj?k76s9zlsZC>A z)0y53X7n%rHj|mnVpg-6-5lmLm$}VjUjOl5|1+QYEnq-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc= zXF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0 zJ>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u z^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fGAi3^a&A4Q6md7}8LNHjH5n zXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(ZBrL zOlCHVS$fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1Z zSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CL zWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a#jin86KUNJAOgFordp;f-KKBN^E! zMm3tzjbTh<8QVCiEIG^RD3>CIq9|MG7$nb|C6HJjPZ zVNP?I+dSs=AOH0~^O@fQ7POFsEn-oNS=sj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP z<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8C zlb`+KSHJn)AO7^0zx@*scrd^~gBa9c1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0 zY~vW$c*Zw@2~A{TlbFpY-TryIn8Bm^O)Cv z{MY}?XMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k z&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIb za7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8 zYhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQ zUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZ zG?9r-Vp5Zt+!UrXm8nf*TGN@{3}*B%|2C7E&00@(I@YzG^=)878`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMI zO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLS< z0}M2XK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9;xd+e~IQi&@QPc5|51T;?{9dHu(K{m*>nw}1sLWMPX~)M6I5 zge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh? zcDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1Oy%lFwh_dHJHH- zVMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6f zVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1* z10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31( zpZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5O_4eK!X_6U;Xt zRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n z_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WP zxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4 z=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFr zjczTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+ zKnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD z%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGui zp7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG z`Pnai^_$=Q;ZJ}0+dlz;#{&#Bh(Qfza6=f)VGU<^BN)+0MmCC3jb?OX7}HqB zHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmo8{M$@sHj7!!W_EL!(_H2@k9qya zfBnyV=C^sZ%%*0+HT zZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVd zcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cO zKmFxz{{#e{2r$qf1~r($4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt z6Pef~CN-JKO<_t?nc6g_HJ$0rU`GG)Z!?+MEM_&E+09{2bD7&b=Jg-{^*{5O-vSo2 zkcBN`QHxpJ5|*@-r7dGw%URwERkcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{ z^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A*YZ zz(9i-)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?% zg(*#CYSWn3bf!0h8U4$@&17b?nAL1%H-|aRWp4AB*MI!i|IBB83s}%X7Pg2*EoN~` zSkh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF* zANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;WqW0}WzOgBjcq zhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWq zncfU$^e_K5lbOw8R{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^ z;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>q zna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfu{ouG>AbBW^h9o(olvrjA0FD zcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{Ozx>-w zW;Tmi&1QCUnA2S5HjjDz$AA6LeCD@+1ubM@i&)fR7Po{YEoEuTSk`iuw}KU|WM!*Z z)oNC^hBd8aZR=Rqde*mr4Q*s&o7mK5Hn)W>ZDniQ*w%Kow}Tz+WM{kB)oymThdu3O zZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_T{rZt`E&0t3V@^3Sl*(_!?o7v4_ zPIH;tJm&Qu|Mfrfnco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$j ztz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*U zbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn z+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8 zS{Sy#)Ho!oG7}Q_}H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x z;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBktHzs+Q3vzXOvW;cg9&1G)$nAd;& z*Z<6CehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-& zMmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRD zM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)U zUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE< z_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si z(_jAfPe9)WQj?k76s9zlsZC>A)0y53X7n%rHj|mnVpg-6-5lmLm$}VjUjOl5|1+QYEnq-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rG zoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?H zEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQ zZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fFZO z3^a&A4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faa zn9@|HHjQabXL>W3(ZBrLOlCHVS$fCVjNVT)MQVivcA zB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82 zwzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSv zIMr!RcZM^a#jin86KU zNJAOgFordp;f-KKBN^E!Mm3tzjbTh<8QVCiEIG^RD3 z>CIq9|MG7$nb|C6HJjPZVNP?I+dSs=AOH0~^O@fQ7POFsEn-oNS=sj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8i zSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G} z`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*scrn00gBa9c1~-Hu4P|J<7}jux zH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFpY-TryIn8Bm^O)Cv{MY}?XMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R& zt!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2 zwvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q z&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|r< zaZh;CQ=ayWXFcb6FL=>QUiOMtz23K2u3uLk&R+h zqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{3}*B%|2C7E&00@( zI@YzG^=)878`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c z^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C z*)M+eo8SH6Pk;H_KLLT40}M2XK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qf zj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9;xd+e~IQi&@QPc5|51T;?{9dHu(K z{m*>nw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09 zWMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz z{pD}}1O#3QFwh_dHJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJ zwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii z;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKY zmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5O_7f zK!X_6U;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjb zdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc( zQ=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC z?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)& z_|Qi__K8n@=5t^8(pSFrjczTiMz+wzZw@?O;bc+1W03 zwVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a z+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)F zK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI= z&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;*8>bRh(Qfza6=f)VGU<^ zBN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmo8{M$@s zHj7!!W_EL!(_H2@k9qyafBnyV=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0 z``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+e zUF2eyxYT7XcZDlm+4Jc+_Ja z_k<@sZ+`cOKmFxz{{#fy2r$qf1~r($4Pi(_8QL(0HJss%U_>Js*(gRe zn$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`GG)Z!?+MEM_&E+09{2 zbD7&b=Jg-{^*{5O-vSo2kcBN`QHxpJ5|*@-r7dGw%URwERkcU0uQIC1t6Q1;xr#<6Y z&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{ z{o+@@`Q0D>^q0T=6A*Yaz(9i-)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`( z7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8U4$@&17b?nAL1%H-|aRWp4AB*MI!i z|IBB83s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+T zidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZP zU;g$_K;W$a0}WzOgBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|* ziA`cslbPHUrZknQO=DWqncfU$^e_K5lbOw8R{nsHLi7?>)qf+H@Vp@ zZgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7 zdD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfwuz; zG>AbBW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xN2&oDed3t<2N z0Q`T|@|Io8wr$(CZQHhO+qP}nw%zXDyLiSofeB4yVw0HEWF|L-DNSW+)0ozDrZ!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D) zw}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~ z*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^au+HJss%U_>Js z*(gRen$eA6Ok)|_IR0l`;~C!sCNzsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn z-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+K zSHJn)AO7^0zx@*scsIa6|1yX{4d&klH-sS#WoW|~)_?rhaE3R65shSIqZrj_MmL5r zjb&`(_@8l&XM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDD zXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4 ziA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@O zQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAh zH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMt zz20@(I@YzG^=)878`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSI zJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLUF0}S*p zgBa9c{%vqW7}8LNHjH8Y$A1lHcq16mNJch_QH^GFV;Iv|#x{=s8P|BmH-QOFWMY$; z)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5Iy zY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J z9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1Oz?^FwnmYVo-zmx4{iz zNJAOgFoyLX|23T9jbKD08QCaCHJZ_lVN7Eg+c^GbT;mzv1ST|*iA`cslbPHUrZknQ zO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6g( zw33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_ z-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{ zhdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMu zzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5cn{_K>sp`K@H~L1~-Hu4P|J<7}kIM z*Kme6f)R~mWTP0>Xht`NF^y$x;XtRjp=q zYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G z?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u| zc8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW z(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcT{rZt`E&0t0|nb|C6HJjPZ zVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@ zp7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4 zAr5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70 zSGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osP zz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai z^_$=Q;ZJ}0+dlz;j{^+!FM}A=VE%1zLm1LfhBl00{l|X|XLutR(MU!%icyVbbYmFP zSjIMv{~6bK#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs z=C^sZ%%*0+HTZDeDc z*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4Gy zBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz z{{#d+2{6#V3}R4&`M1FhVMs$6+AxOoAOAI+;f-KKBN^E!Mm3tzjbTh<8QVDiXI$eM z-vlN!k%>)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1 z<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A<_`z(D^p zh(QhJ-v&2?Aq{0{!x+|o{MT@XH-Zt3WMrcl)o4aHhB1w0Y~%Q!agAqu6PVCMCN_yl zO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9K zwv1&hXL&1F(MndfidC&| zd)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHm zKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;W|g1O3Y&1~r&}8{80v zG?bwYV_5(3U&9&R2u3uLk&R+hqZ!>8#x$0(jpKjDHJiEI zG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^v zD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+` z?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0 z*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RU zOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfzJaB^e=-L)L{N?a6=f)Vg1K{ z4QF^G7|}>ZHi}V=W^`j1(^$qfj{h0gc*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHS ze)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA` z;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~L zm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy%QGQdFpGKfJ9=HCW4gdq)OXu}xRfBe^QhBtx{jbvn_7}aP-H-<5d zWo+a4pK*<6d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~! zehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyI zO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^ zj&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi? zxY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`% z=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAf zPe9-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~ zL?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2 z+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80 z-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fDar4D>I9 z7}Q|?ZE!;v(olvrjA8x9e+_4NBN)+0MmCC3jb?OX7}HqBHje)p*LcP^feB4yVw0HE zWF|L-DNSW+)0ozDrZ!;fCVjNVT)MQVivcAB`sxX z%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F z?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!R zcZM^au+HJss%U_>Js*(gRen$eA6Ok)|_IR0l`;~C!sCNzsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW z9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2 z^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s_%^^m|1yX{4d&klH-sS#WoW|~)_?rh zaE3R65shSIqZrj_MmL5rjb&`(_@8l&XM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm# zW;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y= zSkqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7 zXMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynG ziA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMtz20@(I@YzG z^=)878`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu z;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+e zo8SH6Pk;H_KLLU70}S*pgBa9c{%vqW7}8LNHjH8Y$A1lHcq16mNJch_QH^GFV;Iv| z#x{=s8P|BmH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#f zw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy z)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}} z1O$EvFwnmYVo-zmx4{izNJAOgFoyLX|23T9jbKD08QCaCHJZ_lVN7Eg+c^GbT;mzv z1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%H zi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4 zZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0 z-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm* zUGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5cn~`K>sp` zK@H~L1~-Hu4P|J<7}kIM*Kme6f)R~mWTP0>Xht`NF^y$x;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?C zPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5? zXE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR z-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi_ z_K8n@=5t^8(pSFrjcT{ zrZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnf zS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1T zVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4 zp7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@ zBOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%b zU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;p92i^FM}A=VE%1zLm1LfhBl00{l|X| zXLutR(MU!%icyVbbYmFPSjIMv{~6bK#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_a zX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih z_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2ey zxYT7XcZDlm+4Jc+_Ja_k<@s zZ+`cOKmFxz{{#en2{6#V3}R4&`M1FhVMs$6+AxOoAOAI+;f-KKBN^E! zMm3tzjbTh<8QVDiXI$eM-vlN!k%>)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y&w1Vp zUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@ z`Q0D>^q0T=6A<_{z(D^ph(QhJ-v&2?Aq{0{!x+|o{MT@XH-Zt3WMrcl)o4aHhB1w0 zY~%Q!agAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j z3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhn zb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_ zK;X9k1O3Y&1~r&}8{80vG?bwYV_5(3U&9&R2u3uLk&R+hqZ!>8#x$0(jpKjDHJiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9S zn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96 zHnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6 z-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ z^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMf!_lR^e=-L z)L{N?a6=f)Vg1K{4QF^G7|}>ZHi}V=W^`j1(^$qfj{h0gc*Zw@2~A{TlbFZDniQ*w%Kow}Tz+ zWM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y z_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~R zIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-= z;!%%z+!LPkl&3x8S{Sy%QGr&OqGKfJ9=HCW4gdq)OXu}xRfBe^Q zhBtx{jbvn_7}aP-H-<5dWo+a4pK*<6d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pT zHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>= z(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZD ze+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%U zOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q( zp7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8edl{W z_|Z>(_KRQr=68Si(_jAfPe9-R)sdd)eDQ_O+k=9pFF*IoKf% zb(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz z+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZ zMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo# z-~HiFfBD-#0fD~*{->}WlmJn1AONmy+qP}nwr$(CZQHhO+qS)Ludh;FH9wF{2uL6T z6NI1yBRC-lNhm@ShOmSqJQ0XUBq9@qs6-<=F^EYlViSkB#3MclNJt_QlZ2!sBRMHZ zNh(s4hP0$3JsHSICNh(StYjlQImk&aa+8O=lxi$tXrMhOvxeJQJA6BqlS3sZ3)!GnmON zW;2Jm%ws+aSjZw4vxKEAV>v5W$tqT}hPA9?Jsa4_CN{H$t!!gEJJ`uCcC&}Q>|;L% zILILmbA+QD<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|yZgYpb+~YnEc*r9j^Mt27 z<2f&Q$tzy-hPS-qJsKlsTne)EUF{Kvll0sjRcAb|)>5P}kn;DjI~ zp$JVF!V-?~L?9xOh)fis5{>A@ASSVhO&sD9kN6}YA&E##5|WaP>6Q1&n=e*!0uXxQH z-tvz3eBdLW_{TwNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7Wnq zQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}%wFqdx-} z$RGwYgrN*$I3pOzC`L1ev5aFp6PU;(CNqVpOk+ATn8_?=Gl#j%V?GO5$RZZAgrzKF zIV)JnDps?GwX9=38`#JuHnWATY-2k+*vT$-vxmLxV?PHt$RQ4MgrgkeI43yCDNb{S zvz+5R7r4kJE^~#eT;n=7xXCSUbBDX!<30~~$Ri%}gr_{?IWKt0D_--4x4h#$ANa^8 zKJ$gIeB(Pm_{lGR^M}9u$G-r90tO%;fe1_xf)b42gdilL2u&Em5{~dhAR>{7OcbIL zjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2jl8*FbAS0Q`Oct_|jqKzgC%MQ? z9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1Ockn9jq22(Cbg(d9qLk#`ZS;+ zjc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad{tRFsgBZ*ZhBA!d zj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GOma>fHtY9UpSj`&N zvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv;3J>-%oo1$jqm*6 zC%^d3AO7+m{{jT^00eRb0ttZv1tthV2}W>25Ry=YCJbQ-M|dI-kw`=)3Q>thbYc*b zSi~j{afwHK5|EHYBqj+-Nk(!~kdjoSCJkvxM|v`lkxXPJ3t7oVc5;xDT;wJXdC5n9 z3Q&+j6s8D8DMoQhP?A!VrVM2%M|mnxkxEpi3RS5_b!t$PTGXZvb*V>v8qknNG^Pnn zX-0Ee(2`cPrVVXrM|(QZkxq1`3tj0(cY4s1Ui799ed$Mk1~8C83}y&J8OCr%Fp^P> zW(;E)$9N_%kx5Ku3R9WJbY?J-EM^HyS;lf!u##1*W({ju$9guf zkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(t zb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3| zfBBDp0jxX$2}EFm5R_m9Cj=o0MQFkhmT-h80uhNsWTFt2XhbIlF^NTN;t-d3#3um> zNkn3jkd$O3Cj}`YE-8NHK|2y>QI+@)TaRrX+&e1(3EC0rv)u(MQhs7 zmUgtK10Cr^XS&dpZgi&yJ?TYn`p}nt^k)DA8N^_QFqB~oX9Ob|#c0MbmT`<{0u!0U zWTr5cX-sDZGnvI~<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~DmUXOW0~^`IX11`EZER-; zJK4o<_OO?I?B@UnImBU(aFk;l=L9D?#c9rPmUEov0vEZ&Wv+0QYh33BH@U@a?r@iT z+~)xgdBkI$@RVmf=LIi$#cSU1mUq1810VUsXTI>2Z+zzmKl#OP{_vOo_!mIk6Ocdz zCI~?ZMsPw9l2C*u3}FdJcp?yyNJJ(IQHe%$Vi1#9#3l}LiAQ`AkdQ@0trU*qTMsZ3|l2VkW3}q=t zc`8tmN>ru_RjEdGYEY9})TRz~sYiVp(2zznrU^}HMsr%wl2){)4Q**hdpgjOPIRUV zUFk-5deDAZhTiM2TcCeFO>}C&p*~fkk zaF9bB<_JeQ#&J$?l2e@K3}-pVc`k5~OI+p(SGmS@Zg7)Z+~y8fTJ9`Q*)LK2afBqSvn$w@&^$tANeUjK?+frA{3<<#VJ8aN>Q3Jl%*WysX#?4QJE@K zr5e?#K}~8=n>y5`9`$KJLmJVTCN!lP&1pePTG5&|w51*G=|D$1(U~rEr5oMpK~H+o zn?CfVAN?7?Kn5|GAq-_0!x_OyMlqT(jAb0-nZQIQF_|e$Wg63&!Axc`n>oy79`jki zLKd-@B`jqb%UQunR$y!A)*)n>*a)9`|{`Lmu&%Cp_gD&w0U1Uh$eY zyyYG5`M^g$@tH4t6f6J%2}EFm5R_m9Cj=o0MQFkhmT-h8 z0uhNsWTFt2XhbIlF^NTN;t-d3#3um>Nkn3jkd$O3Cj}`YE-8NHK|2y z>QI+@)TaRrX+&e1(3EC0rv)u(MQhs7mUgtK10Cr^XS&dpZgi&yJ?TYn`p}nt^k)DA z8N^_QFqB~oX9Ob|#c0MbmT`<{0u!0UWTr5cX-sDZGnvI~<}jCe%x3`$S;S(Nu#{yi zX9X)+#cI~DmUXOW0~^`IX11`EZER-;JK4o<_OO?I?B@UnImBU(aFk;l=L9D?#c9rP zmUEov0vEZ&Wv+0QYh33BH@U@a?r@iT+~)xgdBkI$@RVmf=LIi$#cSU1mUq1810VUs zXTI>2Z+zzmKl#OP{_vOo_!l5h@BjoP5P=CoP=XPh5QHQYp$S7+!V#VbL?jZCi9%GO z5uF&sBo?uWLtNq!p9CZ%5s67cQj(FJ6r>~-sYydx(vhAFWF!-r$wF4Lk)0gmBp12K zLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q%QJospq!zWQLtW}op9VCf z5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#ELtpyQp8*VH5Q7=QP=+y_ z5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r5sO*EQkJot6|7_xt69TZ z*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t(6P)A}r#Zt}&T*a#T;vj$ zxx!Vhah)6725Ry=YCJbQ-M|dI-kw`=)3Q>thbYc*bSi~j{ zafwHK5|EHYBqj+-Nk(!~kdjoSCJkvxM|v`lkxXPJ3t7oVc5;xDT;wJXdC5n93Q&+j z6s8D8DMoQhP?A!VrVM2%M|mnxkxEpi3RS5_b!t$PTGXZvb*V>v8qknNG^PnnX-0Ee z(2`cPrVVXrM|(QZkxq1`3tj0(cY4s1Ui799ed$Mk1~8C83}y&J8OCr%Fp^P>W(;E) z$9N_%kx5Ku3R9WJbY?J-EM^HyS;lf!u##1*W({ju$9gufkxgu7 z3tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>!$9XPrkxN|W3Rk(tb#8Ez zTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW)3t#!hcYg4bU;O3|fBBDp z0Rn{#KtKW!m>>it7{LiaNJ0^sFoY!>;fX**A`zJ=L?s&0i9t+a5t}%~B_8ofKtd9c zm?R`68OcdON>Y)UG^8aR>B&GwGLe}qWF;Hf$w5wXk()f^B_H`IKtT#om?9LV7{w_; zNlH=yOIp#IHngQ3 z?dd>AI?r62tnz(58um>~>h7{eLCNJcT5F^pv#;I&HLPVF>)F6YHnEv4Y-JnU*}+bB zv70^YWgq)Fz(Edim?IqJ7{@umNltN^Go0ld=efW|E^(PFT;&?qxxr0tahp5b+=(3WeG#AU83dBtnq@RoPH=K~-4#Am+nm2Z6K2S546Z~pL?|M(XmQ0M>zBoKiKLQsMcoDhU0 z6rl-2Si%vW2t*_jk%>Z7q7j`K#3UB6i9=lC5uXGkBoT>8LQ;~EoD`%a6{$%>TGEl8 z3}hq|naM&{vXPw}F`or2 zWD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a*~4D;v7ZARQjn5Vq$Uk%Nk@7zkdaJeCJR}~ zMs{+LlU(E`4|&N)ehN^KLKLP5MJYycN>Gwgl%@=2DMxuKP?1VhrV3T5Ms;dXlUmfK z4t1$VeHze^Ml_}gO=(7RTF{bKw5APhX-9iH(2-7brVCx^Mt6G9lV0?u4}IxJe+Dp+ zK@4UHLm9?!Mlh05jAjgD8OL}gFp)`2W(rf8#&l*dlUdAW4s)5ud={{fMJ#3sOIgNp zR)oEPH>V_oaPK? zImdY}aFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^UPkF|3UhtAvyygvWdB=M`@R3h^ z<_ll>#&>@3lVAMi4}bZOe*pr84M0Ew5ttwZB^bd8K}bRonlOYV9N~#TL?RKHC`2V1 z(TPD!ViB7-#3df_NkBppk(eYTB^k*{K}u4Qnlz*(9qGwHMlz9^EMz4c*~vjpa*>-n zMQr5Vj>K}%ZEnl`kh9qs8rM>^4&E_9_E-RVJ3deNIc^ravD8NfgWF_<9?Wf;R5 z!AM3inlX%J9OIe5L?$trDNJP=)0x3cW-*&N%w-<)S-?UTv6v++Wf{v^!Ae%Knl-Ft z9qZY^MmDjTEo@~Q+u6ZRcCnj1>}4POIlw^fMJ{of zD_rFo*SWz>ZgHDC+~pqkdB8&+@t7w({N+FX1qc)_009X^V1f{oU<4-wAqhoj!Vs2lgeL+Ki9}?g5S3^|Ck8QzMQq{_ zmw3b{0SQS&Vv>-QWF#jADM>|Y(vX&Pq$dLz$wX$dkd00k*T zVTw?cViczYB`HN|%21Yal&1m}sYGR}P?c&_rv^2tMQ!R(mwMEv0S#$HW17&EW;CY- zEont-+R&DEw5J0d=|pF`(3Ngq z#cl3zmwVjj0S|e^W1jGoXFTTxFL}jl-td-pyypWS`NU_w@Re_T=LbLe#c%%bm;d+| zAW--K1SAlF2|`eU5u6Z&Bov_uLs-HQo(M!F5|N2QRH6}`7{nwNv57-m;t`(&BqR}u zNkUSRk(?ByBo(PiLt4_2o(yCp6Pd|ERP^DMC?-QJfN# zq!gtoLs`mEo(fc?5|yb!RjN^)8q}l~wW&j0>QSEtG^7!YX+l$)(VP~vq!q1cLtEO> zo(^=R6P@WoSGv)i9`vLaz3D?=`q7^O3}g_48NyJ8F`N;MWE7(r!&t^Ko(W835|f$2 zRHiYV8O&rBvzfzO<}sfIEMyUjS;A75v78mGWEHDf!&=s{o(*hd6Pww>R<^O79qeQm zyV=8D_OYJ>9OMv(Il@tnahwyJCL?#MRiAHo{5R+KMCJu3lM|={HkVGUV2}wyta#E0z zRHP;iX-P+VGLVr>WF`w)$wqc^kds{GCJ%YZM}7)WkU|uu2t_GIaY|5Y(34*DrVoATM}Gz|kUW_xyE&FaFbiy<_>qc$9*2~kVib`2~T;( zb6)V0SG?v8Z+XXiKJbxGeC7*Z`NnsC@RMKs<_~}QkADFIMGQbd0uh)X1SJ^32|-9g z5t=ZBB^=?2Ktv)DnJ7dh8qtYCOkxq6IK(9$@ku~J5|NlBBqbTiNkK|dk(xB5B^~L> zKt?i=nJi=_8`;T0PI8f(Jme)G`6)m_3Q?FM6r~u&DM3j}QJON8r5xp{Kt(E1nJQGJ z8r7*mO=?k_I@F~e^=Uvu8qt_0G^H8MX+cX`(V8~2r5)|*Ku0>!nJ#pt8{O$aPkPat zKJ=v@{TaYO1~Hf+3}qO@8NoS|UJKW_S_j$lW9`TqbJmneBdBICw@tQZh z3)1u02IYSNIFbfhN(8OcOuvXGT* zWG4qX$whARke7VqrvL>hL}7|hlwuU81SKg&Y06NRa+Ie66{$pJs!)|`RHp_tsYPw- zP?vhtrvVLVL}QxJlx8%i1ubbsYueD3cC@Dh9qB}8y3mzwbf*VB=|yk)(3gJnX8;2k z#9)Rnlwk~K1S1*6XvQ#>ag1jI6Pd(hrZAOhOlJl&nZ<18Fqe7EX8{XY#A24Plw~Yu z1uI#_YSyrpb*yIt8`;EWwy>3LY-a~M*~M=5u$O)8=Ku#e#9@wblw%y{1SdJgY0hw# zbDZY_7rDe`u5guWT;~Qixy5bnaF=`B=K&9S#ABZDlxIBW1uuEUYu@mdcf98VANj;* zzVMZAeCG#0`NePk@R$Gi7a&mN00blufeAuTf)Sh$gd`N92}4-I5uOM{BodK{LR6v= zofyO<7O{y#T;dU*1SBL8iAh3Il98Mgq$CxoNkdxFk)8}>Bomp*LRPYoogCyO7rDtp zUhrl%y1;DMMMxQJxA^q!N{>LRG3!of_1n7PYBEUFuPv1~jA* zjcGztn$esVw4@cSX+vAu(Vh-;q!XR#LRY%cogVb07rp62U;5FX0SsgigBik5hB2HG zjARs}8N*n{F`fxbWD=8^!c?X)of*tz7PFbdT;?&K1uSF{i&?@_ma&`_tYj6dS;Jb^ zv7QZVWD}d&!dAAiogM6C7rWWRUiPt{103WKhdIJgj&Yn5oa7XzIm21bah?lYUG8z82R!5vk9opVp7ER)yyO+HdBa=Y@tzNS@0t zrU*qTMsZ3|l2VkW3}q=tc`8tmN>ru_RjEdGYEY9})TRz~sYiVp(2zznrU^}HMsr%w zl2){)4Q**hdpgjOPIRUVUFk-5deDAZh zTiM2TcCeFO>}C&p*~fkkaF9bB<_JeQ#&J$?l2e@K3}-pVc`k5~OI+p(SGmS@Zg7)Z z+~y8fTJ9`Q*)LK2af zBqSvn$w@&^$tANeUjK?+frA{3<<#VJ8a zN>Q3Jl%*WysX#?4QJE@Kr5e?#K}~8=n>y5`9`$KJLmJVTCN!lP&1pePTG5&|w51*G z=|D$1(U~rEr5oMpK~H+on?CfVAN?7?Kn5|GAq-_0!x_OyMlqT(jAb0-nZQIQF_|e$ zWg63&!Axc`n>oy79`jkiLKd-@B`jqb%UQunR$y!A)*)n>*a)9`|{` zLmu&%Cp_gD&w0U1Uh$eYyyYG5`M^g$@tH4t6fFP&2}EFm z5R_m9Cj=o0MQFkhmT-h80uhNsWTFt2XhbIlF^NTN;t-d3#3um>Nkn3jkd$O3Cj}`< zMQYNJmUN^i0~yIgX0ni#Y-A?~ImtzC@{pH&YE-8NHK|2y>QI+@)TaRrX+&e1(3EC0rv)u(MQhs7mUgtK10Cr^XS&dp zZgi&yJ?TYn`p}nt^k)DA8N^_QFqB~oX9Ob|#c0MbmT`<{0u!0UWTr5cX-sDZGnvI~ z<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~DmUXOW0~^`IX11`EZER-;JK4o<_OO?I?B@Un zImBU(aFk;l=L9D?#c9rPmUEov0vEZ&Wv+0QYh33BH@U@a?r@iT+~)xgdBkI$@RVmf z=LIi$#cSU1mUq1810VUsXTI>2Z+zzmKl#OP{_vOo_!l5h^Z*1T5P=CoP=XPh5QHQY zp$S7+!V#VbL?jZCi9%GO5uF&sBo?uWLtNq!p9CZ%5s67cQj(FJ6r>~-sYydx(vhAF zWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q% zQJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#E zLtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r z5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t( z6P)A}r#Zt}&T*a#T;vj$xx!Vhah)6725Ry=YCJbQ-M|dI- zkw`=)3Q>thbYc*bSi~j{afwHK5|EHYBqj+-Nk(!~kdjoSCJkvxM|v`lkxXPJ3t7oV zc5;xDT;wJXdC5n93Q&+j6s8D8DMoQhP?A!VrVM2%M|mnxkxEpi3RS5_b!t$PTGXZv zb*V>v8qknNG^PnnX-0Ee(2`cPrVVXrM|(QZkxq1`3tj0(cY4s1Ui799ed$Mk1~8C8 z3}y&J8OCr%Fp^P>W(;E)$9N_%kx5Ku3R9WJbY?J-EM^HyS;lf! zu##1*W({ju$9gufkxgu73tQR7c6P9nUF>ELd)dc+4seh|9Oei|ImU5LaFSD;<_u>! z$9XPrkxN|W3Rk(tb#8EzTioUjce%%X9`KMyJmv{cdB$^I@RC=&<_&Lo$9q2TkxzW) z3t#!hcYg4bU;O3|fBBDp0RqJgKtKW!m>>it7{LiaNJ0^sFoY!>;fX**A`zJ=L?s&0 zi9t+a5t}%~B_8ofKtd9cm?R`68OcdON>Y)UG^8aR>B&GwGLe}qWF;Hf$w5wXk()f^ zB_H`IKtT#om?9LV7{w_;NlH=yOIp#IHngQ3?dd>AI?r62tnz(58um>~>h7{eLC zNJcT5F^pv#;I&HLPVF z>)F6YHnEv4Y-JnU*}+bBv70^YWgq)Fz(Edim?IqJ7{@umNltN^Go0ld=efW|E^(PF zT;&?qxxr0tahp5b+=(3WeG#AU83dBtnq@RoPH=K~-4#Am+nm2Z6K2S546Z~pL?|M(Xm zQ0xE%BoKiKLQsMcoDhU06rl-2Si%vW2t*_jk%>Z7q7j`K#3UB6i9=lC5uXGkBoT>8 zLQ;~EoD`%a6{$%>TGEl83}hq|naM&{vXPw}F`or2WD$#5!cvy8oE5BO6{}gpTGp|i4Qyl+o7uuvwy~WZ>|__a z*~4D;v7ZARQjn5V zq$Uk%Nk@7zkdaJeCJR}~Ms{+LlU(E`4|&N)ehN^KLKLP5MJYycN>Gwgl%@=2DMxuK zP?1VhrV3T5Ms;dXlUmfK4t1$VeHze^Ml_}gO=(7RTF{bKw5APhX-9iH(2-7brVCx^ zMt6G9lV0?u4}IxJe+Dp+K@4UHLm9?!Mlh05jAjgD8OL}gFp)`2W(rf8#&l*dlUdAW z4s)5ud={{fMJ#3sOIgNpR)oEPH>V_oaPK?ImdY}aFI(~<_cH2#&vFRlUv;84tKf7eID?TM?B^UPkF|3 zUhtAvyygvWdB=M`@R3h^<_ll>#&>@3lVAMi4}bZOe*pr;4M0Ew5ttwZB^bd8K}bRo znlOYV9N~#TL?RKHC`2V1(TPD!ViB7-#3df_NkBppk(eYTB^k*{K}u4Qnlz*(9qGwH zMlz9^EMz4c*~vjpa*>-nMQr5Vj>K}%ZEnl`kh9qs8rM>^4&E_9_E-RVJ3deNIc z^ravD8NfgWF_<9?Wf;R5!AM3inlX%J9OIe5L?$trDNJP=)0x3cW-*&N%w-<)S-?UT zv6v++Wf{v^!Ae%Knl-Ft9qZY^MmDjTEo@~Q+u6ZRcCnj1>}4POIlw^fMJ{ofD_rFo*SWz>ZgHDC+~pqkdB8&+@%VoX!vl~Q1^@uC@7K0% z+qP}nwr$(CZQI!DZZ%fh#*(}E&__P@iBEmzb6@z3K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZ zG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4( z+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@K zTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9 zlbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN z?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8SZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ## zEoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQ zwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K` z(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zc zeh+xiLmu{sM?L0oPk7Q(p7xAqJ?CHk?RhVF(Mw+TidVhnKmO}=Z+O#N-u8}nz2|)& z_@57bOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1Oz4uFo=N$HJHH- zVMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-R)sd zd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#i zUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT! z^_a&!;Ym+<+B2T@oPYVZ=e^)XFL~K3UiF&)_^;Q!;Z1LO+dJO%p7(v=e?Ih)kA31( zpZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5STc?AO;%LU!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&X zWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQ zfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^asj9h zHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|!7mw$WS z3tse+m%ZXuulbMvdfgk|^p>~1<6ZB0-v|EZLm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG z`Pnai^_$=Q;ZJ}0+dlz;NdpXGpg|30a6=f)VGU<^BN)+0MmCC3jb?OX7}HqB zHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ< z&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0 zb6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+u zV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMF zZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rZ+`cO zKmFxz{{#dk3owX*1~r($4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt z6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkg zEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG^=)878`;<&t zPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_+kCx97d!MK5{TD_-@Q|M;)hz2Qx7 zdD}bQ^`7^A;D0{!k&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A+j@ zz#s-1)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?% zg(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsF za+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXi zyV%ukcDIK;?PYKK*w=pccYp&O6g(w33yr zVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O| zm%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^ zk9ph^p7fNbJ>yx=`Imot-V0vzl9#>WRj>Jv|9agU-t?BYz2jZ)dEW>A=R+U)*e5>q zna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfhhwFVxU0{W^h9o(olvrjA0FD zcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHV zS;XtRjp=qYgp4- z*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@n zIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<} z=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%H zjAuRPU;gcRFL=>QUiOMtz2-mu>veB<(_7y5j(5H1eINLr4}IigpZL^gKKF$$edTN4 z_||v6_k$n(T{rZt`E&0t0|nb|C6HJjPZVNP?I z+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{D zLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz z!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3X zu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7or6`M2l2 z;6*Qa*(+Z4n*aE(*S+CQZ+Y80-u0gMec*pS^pTH!;!~gb+!wy|m9Kr{Ti^NK4}SEM zpZ(%jzxmxC{`8l>{Sy$FI=~Xht`NF^y$x z;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh= zw}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@s(_KRQr=68Si z(_jAfPe5Rr0D~B4P=guV5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y&-s^sd)^CP^pcmo;#IHtkNW3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&h zXL&1F(MndfidC&|d)?=L z4|vc+9`=YwJ?3#wc+yjz_Kase=U@Kqc`ta;OJ4ShSH0#x{_Aybc+*?n_KtVG=Y1de zpAUWHW1slcXFm6ZFMZ`}-}u&dzW0M4{p4rA_|iEIG^RD3 z>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_Pkp zR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q z+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreG zn8!WgNl$s&GoJOFfBCoPz2HSJdD$yo^_u_quh+fdO>cSIJKpu4_kG}hKJ<}~ed1G} z`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sm_EQD1{&001~-Hu4P|J<7}jux zH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O z10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7 zc-C|N<=>w7f)~ByWv_VEYyRWEUiXGKz2$B1c-MR0_ksWU&__P@iBEmzb6@z3K2u3uLk&R+h zqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN z&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaW zw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY< z+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)Ij zTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8SZHi}V=W^`j1(^$qf zj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8 zLKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg# zTiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V= z9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+ zc8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?CHk?RhVF(Mw+TidVhn zKmO}=Z+O#N-u8}nz2|)&_@57bOYv1_RcfR+7AN}NKzxdT}e)oqz z{pD}}1O#RZFo=N$HJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1f zDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+# zce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oPYVZ=e^)XFL~K3UiF&)_^;Q!;Z1LO z+dJO%p7(v=e?Ih)kA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5STf@ zAO;%LU!;fCVjNVT)MQVivcAB`sxX%UITO zmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W z*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a zsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}C zz3pRP``OpT1 zdE678^pvMP<5|!7mw$WS3tse+m%ZXuulbMvdfgk|^p>~1<6ZB0-v|EZLm&CrCqDI= z&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;Spy7Wpg|30a6=f)VGU<^ zBN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi z&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8 zwvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc z&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DP za#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rZ+`cOKmFxz{{#eP3owX*1~r($4Pi(_8QL(0HJss%U_>Js*(gRe zn$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_PIH;t zJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG^=)87 z8`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_+kCx97d! zMK5{TD_-@Q|M;)hz2Qx7dD}bQ^`7^A;D0{!k&k`iQ=j?V7rykBuYKcN-}&ATe)N-{ z{o+@@`Q0D>^q0T=6A+j^z#s-1)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`( z7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sL zWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrf zg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8 z+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9 zm%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=`Imot-V0vzl9#>WRj>Jv|9agU-t?BY zz2jZ)dEW>A=R+U)*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfjI*V zVxU0{W^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q z(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77 zUF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY z&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ} zc+f*0_J~J4=5bGW(o>%HjAuRPU;gcRFL=>QUiOMtz2-mu>veB<(_7y5j(5H1eINLr z4}IigpZL^gKKF$$edTN4_||v6_k$n(T{rZt`E z&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9 zwVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6 z+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMc zLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb z$35XmPkGuip7or6`M2l2;6*Qa*(+Z4n*aE(*S+CQZ+Y80-u0gMec*pS^pTH!;!~gb z+!wy|m9Kr{Ti^NK4}SEMpZ(%jzxmxC{`8l>{Sy$FJHQ|Y8q{D0H-sS#WoW|~)^LV5 zf)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>p zY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2- z9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7X zcZDlm+4Jc+_Ja_k<@s(_KRQr=68Si(_jAfPe5Rv0D~B4P=guV5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y&-s^sd)^CP z^pcmo;#IHtkNW3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X z7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=U@Kqc`ta;OJ4ShSH0#x z{_Aybc+*?n_KtVG=Y1depAUWHW1slcXFm6ZFMZ`}-}u&dzW0M4{p4rA_|iEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht& zNlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$ z?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAm zx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOFfBCoPz2HSJdD$yo^_u_quh+fdO>cSI zJKpu4_kG}hKJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sm_NWE z1{&001~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB z)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_qifd)00!3|+ZLmApIhBchwjbKD08QCaCHJZ_l zVN7Eg+c?HGp7Bj!LKB(TBqlYP$xUHOQ<>T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqq zpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!H zCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyB zM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3 z-QY$yx!J$`+bwQ&o7>&tPItN6fBe@y?scF0{m%m)^pJ-=;!%%z+!LPkl&3x8S{Sy#aFu))N8q{D0H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X? z#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZj zWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm(_KRQr=68Si(_jAf zPe5Ry0D~B4P=guV5Qa3Ap$%hL!x`QPMl_O)W zQj?k76s9zlsZC>A)0y53W;BzT&0W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F z(MndfidC&iEIG^RD3>CIq9 zGnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Yd ztzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$ zwV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vrr{M#*Vb(`DW;ZAqC+kgDmJ??d%`~A-Y9`ulh zJ>pT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2 z^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sSTw*O1{&001~-Hu4P|J<7}juxH-Zt3 zWMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdG zhd9(>4tInj9pz}pIM#8FcY+h0fh(|rQUiOMtz2gY@Xi$S0+z^H|l%Wk{Si>3K2u3uLk&R+hqZ!>8 z#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8k znco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T% zVpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+ zl%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW z4Q_OkoBhkb-Qrfax!oP^beFsR$A8`9UiZ1*|2*J94|&)l9`%^VJ>f}DdD=6c^_=Iu z;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+e zo8SH6Pk;H_KLLTo0}NuIK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4= zd=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1& zMJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8 zwziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_ zIMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+_Amc- zi(B31c6YebUGDZD|8OYv1_RcfR+7AN}NKzxdT}e)oqz{pD}} z1O%1{Fo=N$HJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o z)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?Hzx>-RZgrd6-QiAm zx!ZsI*FElapZopK10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm* zUGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5Lhz6AO;%L zU!;fCVjNVT)MQVivcAB`sxX%UITOmbZcx ztz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=! zw}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi_ z_K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP z``O)VGU<^BN)+0 zMmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCU znA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJ zXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND% zh(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0 zRjzi8YhCAhH@MMFZuT$#c8gox=5}|u(_QZNAOCfad)?=L|MP$cJ>+4Jc+_Ja_k<@s zZ+`cOKmFxz{{#e<2{4F(1~r($4Pi(_8QL(0HJss%U_>Js*(gRen$eA6 zOk)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@ z`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG^=)878`;<< zHno|}ZDC7W+1fU?wVmzlU`IRI*)Ddqo89eUPkY(hKK8Yr{T<*y2RYaw4t1Er9pOkv zIodIfb)4g!;6x`m*(pwSn$w-(OlLXUInH&S^IhOV7rEFaE_Ip9UExYsx!N_Zb)D~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@ z`Q0D>^q0T=6A)N7z#s-1)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2l zH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~ z)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDm zYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Iz zw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#I?%fH>?R=2s`9qx3O zyZy(1-Q!;Ox!?ah;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ z^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMf#m}XVxU0{ zW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084 zjcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lf zd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ- zo#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>Xut|8|R8-R5?8xYJ$k_8_Z7c-C{C_ktI_T{rZt`E&0t0| znb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtf zVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTS zpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH% zB`$TD%U$70SGn3Xu63R3-QY$yx!J$`+bwQ&o7>&tPItN6fBe@y?scF0{m%m)^pJ-= z;!%%z+!LPkl&3x8S{Sy#aF~A@O8q{D0H-sS#WoW|~)^LV5f)R~m zWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-Try zIn8Bm^O)Cs=C^sZ%% z*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq# zIMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm z(_KRQr=68Si(_jAfPe5R$0D~B4P=guV5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A)0y53W;BzT&0W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2* zEoN~`Skh9Kwv1&hXL&1F(MndfidC&iEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJU zGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$?d@Pk zJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vrr{M#*Vb(`DW;ZAqC z+kgDmJ??d%`~A-Y9`ulhJ>pT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJmx4q+C z?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sST(>P1{&00 z1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymT zhdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0fh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!Tw znayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL| z*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2 z+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif) z;!>Bn+!d~Lm8)IjTGzSW4Q_OkoBhkb-Qrfax!oP^beFsR$A8`9UiZ1*|2*J94|&)l z9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A z`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLT&0}NuIK@DbbLm1LfhBl004QF^G7|}>Z zHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL! z(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-eP zeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^ zLmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwu zu6B)UUFUi?xY12+_Amc-i(B31c6YebUGDZD|8OYv1_RcfR+7 zAN}NKzxdT}e)oqz{pD}}1O(OyFo=N$HJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nD zV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0 z+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA; zMmM?Hzx>-RZgrd6-QiAmx!ZsI*FElapZopK10M8{hdts^k9ph^p7fNbJ>yx=dEN_N z^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@< z-5>t+m%sfJ5Lh$7AO;%LU!;fCVjNVT)MQ zVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M z+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+ zo#bSvIMr!RcZM^a%HjAuRPc`ta;OJ4ShSH0$S zZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!O zlb!8iSG(EW9`>}Cz3pRP``O)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinK zX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG= zR&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm- z*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM z=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZuT$#c8gox=5}|u(_QZNAOCfad)?=L z|MP$cJ>+4Jc+_Ja_k<@sZ+`cOKmFxz{{#fq2{4F(1~r($4Pi(_8QL(0 zHJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U z*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jg zO>0@(I@YzG^=)878`;<~1<6ZB0-v>VQk&k`iQ=j?V7rykB zuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A)N8z#s-1)L;fTgdq)OXu}xRaE3R65shSI zqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9 z&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>i zw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6 zo7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(K zbd#I?%fH>?R=2s`9qx3OyZy(1-Q!;Ox!?ah;6V?0*dreGn8!WgNl$s&GoJOF=e^)X zFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH* z{ozl4`P)AMf%O9nVxU0{W^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rl zn9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*% zjcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~ zNltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|cFF$?!vl~Q1^@uC@7K0%+qP}nwmsCgvD&t6 z+qUg?$z5FFLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)F zK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI= z&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;4FU{epg|30a6=f)VGU<^ zBN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi z&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8 zwvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc z&_NFNFaP!*|8+4Jc+_Ja z_k<@sZ+`cOKmFxz{{#d!3^0g+1~r($4Pi(_8QL(0HJss%U_>Js*(gRe zn$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_PIH;t zJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG^=)87 z8`;<kcU0uQIC1t6Q1;xr#<6Y z&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{ z{o+@@`Q0D>^q0T=6A;)az#s-1)L;fTgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`( z7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sL zWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrf zg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O4tInj z9pz}pIM#8FcY+h0|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+T zidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZP zU;g$_Kw#qlgBWN~gBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|* ziA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%Hi&@+f zmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8 z+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S{nsHLi7?>)qf+H@Vp@ zZgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7 zdD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMflUGo zVxU0{W^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q z(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77 zUF~Lfd)U)n_O_3G?Pq@nIM6{3_AmeTAOCfTLmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ- z=5%K`(^<}Tj&q&oeE)NS3ti-5m$=krE_a11UFB-mxYl*9cY_<<_Z7c-C{C_ktI_T{rZt`E z&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9 zwVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6 z+dlTSpZy)+KnFS4zx>;O{MR84b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB z&UK#i{m%t1bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG z^pJ-=;!%%z+!LPkl&3x8S{Sy$_EWjWJ8q{D0H-sS#WoW|~)^LV5 zf)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>p zY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2- z9pqsD@^Am~Uxzrv$2it;j(36+o#bSvIMr!RcZM^a(_KRQr=68Si(_jAfPe5Sv0D~B4P=guV5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A)0y53W;BzT&0WqPdDtT!^_a&!;Ym+<+B2T@ zoaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5 zFMjo#-~HiFfBD-#0f8+73}T=`4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFPSjIMv zagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X z7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&iEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht& zNlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$ z?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*uVVSfBe@W4t1Er9pOkvIodIfb)4g! z;6x`m*(pwSn$w-(OlLXUInH&S^Zm~SE_9KLUE)%gx!e`5bd{@J<676b-VJValbhY* zR=2s`9qx3OyWQhn_qpE#9`ulhJ>pT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJm zx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s*ebvv z1{&001~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB z)oymThdu3OZ~NHSe)e~O10CdG|MGAD@n45H)L{;Hgd-j0Xva9#agKL_6P@H_r#RJV zPIrbgo#kxjIM;d3_dgf7&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$k zc8`1A=Y9`(&_f>fh(|rQUiOMtz2i~loXi$S0+z^H| zl%Wk{Si>3K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{ z3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h` zt6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1 z?PFj2+1~*UbdZDn%fJ1{e;wjbhdJC4j&zix9phNXIo=6Qbdr;u;#8+O-5Jhwmb0DX zT<1C8|6Jfg7rEFaE_Ip9UExYsx!N_Zb)D&tPItN6J??d%`#s=6 z4|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T; zec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLSl0t{lHK@DbbLm1LfhBl004QF^G z7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!! zW_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}TH zj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|w zK@RpW|MnmMb%;Y9=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%Z2bAbz8 zOYv1_R zcfR+7AN}NKzxdT}e)oqz{pD}}1O&DXFo=N$HJHH-VMs$6+AxMSoZ*dNL?ap5C`L7! z(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoQAa+kgDm zAr5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7Z_B1uk@vi(TSUm$}>( zu5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx= zdEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c z;#a@<-5>t+m%sfJ5ZErjAO;%LU!;fCVjN zVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBl zEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mVE^)O|M6dkIMiVdcZ4Gy zBGo9sZ=Q!7S&i6kTxX?u|c8N<}=5klK(p9c@jcZ-! zdN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4Sh zSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%GV_VzV z-VS!Olb!8iSG(EW9`>}Cz3pRP``O)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVn zRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lU zD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM z?Phm-*wbG2wvT=7XMYDc&_NFNFaP!*|8+4Jc+_Ja_k<@sZ+`cOKmFxz{{#ef3^0g+1~r($4Pi(_ z8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0r zU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4G zn$@jgO>0@(I@YzG^=)878`;< zkcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V z7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A;)bz#s-1)L;fTgdq)OXu}xRaE3R6 z5shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOv zW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WN zSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O z4tInj9pz}pIM#8FcY+h0|d)?=L4|vc+9`=YwJ?3#w zc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S z=X*c+(NBK%i(mcbcYpZPU;g$_Kw#$pgBWN~gBjcqhBTC+4P#it8Qus+G?I~xVpO9U z-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}Vj zUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;j zjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF z=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}& ze)XH*{ozl4`P)AMfn5R&VxU0{W^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;| zjc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE z(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3_AmeTAOCfTLmlRDM>x_^ zj&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&oeE)NS3ti-5m$=krE_a11UFB-mxYl*9 zcY_<<_Z7c-C{C_ktI_T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh; zOIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@ z?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4zx>;O{MR84b(q5);Yde0+A)rGoa3F~ zL?=1fDNc2o)1Bc=XF1zB&UK#i{m%t1bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978 zx4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$_Ex;fK z8q{D0H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xp zWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+d zZg#haJ?&+0``Fih_IH2-9pqsD@^Am~Uxzrv$2it;j(36+o#bSvIMr!R zcZM^a(_KRQr=68Si(_jAfPe5Sz0D~B4P=guV5Qa3A zp$%hL!x`QPMl_O)WQj?k76s9zlsZC>A)0y53 zW;BzT&0WqP zdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo z;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0f9XN3}T=`4Q6md7}8LNHjH5nXLutR z(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QP zc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&iEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<( zHJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?( z*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*uVVSfBe@W z4t1Er9pOkvIodIfb)4g!;6x`m*(pwSn$w-(OlLXUInH&S^Zm~SE_9KLUE)%gx!e`5 zbd{@J<676b-VJValbhY*R=2s`9qx3OyWQhn_qpE#9`ulhJ>pT1dE678^pvMP<5|yn z-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+K zSHJn)AO7^0zx@*s*ek#w1{&001~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$ zc*Zw@2~A{TlbF zZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdG|MGAD@n45H)L{;Hgd-j0 zXva9#agKL_6P@H_r#RJVPIrbgo#kxjIM;d3_dgf7&_ynGiA!DPa#y(0Rjzi8YhCAh zH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMt zz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r- zVp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_w zl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;* z4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZDn%fJ1{e;wjbhdJC4j&zix9phNXIo=6Q zbdr;u;#8+O-5Jhwmb0DXT<1C8|6Jfg7rEFaE_Ip9UExYsx!N_Zb)D&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSI zJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLS#0t{lH zK@DbbLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0J zrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTv zSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cu zW_Nqo(_Z$rkA3ZDe+M|wK@RpW|MnmMb%;Y9=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5? zXE@VY&UTJ-o#%Z2bAbz8OYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O)aCFo=N$HJHH-VMs$6 z+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-R)sdd)eDQ z_O+k=9pFF*IoQAa+kgDmAr5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4 zp7Z_B1uk@vi(TSUm$}>(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{ zhdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMu zzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5ZEukAO;%LU!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N z*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-m zVE^)O|M6dkIMiVdcZ4GyBGo9sZ=Q!7S&i6kTxX?u| zc8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW z(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqA zZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJmx4q+C z?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s*gL==1{&00 z1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFfh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!Tw znayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL| z*0h$jtz%v5S>FaWw2_T%;$Qx4Q=8e`7PhpNt!-ml+u7a@{^P%Pw3D6fVpqG_-5&O| zm;c$@KK8Yr{T<*y2RYaw4t1Er9pOkvIodIfb)4g!;6x`m*(pwSn$w-(OlLXUInH&S z^IhOV7rEFaE_Ip9UExYsx!N_Zb)D&tPItN6J??d%`#s=64|&)l z9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A z`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLS#0}NuIK@DbbLm1LfhBl004QF^G7|}>Z zHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL! z(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-eP zeH+-&MmDyIfBCmfZDw;@*wR+EwvBCVXL~#NkN?`yPIk77UF~Lfd)U)n{%3Fd*w=pc zcYp&OOYv1_RcfR+7 zAN}NKzxdT}e)oqz{pD}}1O)aAFo=N$HJHH-VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nD zV;S2x#x(u5^{F zUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=dEN_N z^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@< z-5>t+m%sfJ5ZFJ!AO;%LU!;fCVjNVT)MQ zVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1IMwf7{e%Hn)W> zZDniQ*w%Kow}b!quO01VXS>+dZg#haJ?-Ux_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3f zjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;Vy zO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$S zZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZQ@`4ZBv`s+!nU9m91@KTie;* z4*ui6cC?e7?P6EE+1(!Yw3q+c+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?# zIoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW z;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO% zp7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;0|N|Vpg|30 za6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinK zX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG= zR&t!8y=Skqe8wvKhJXMG#k&_*`4iGTUGO>Jg#TiDW8wziFJZD)Hs_>ceE(N1=@ zi(TzzcYD~=UjAoq``Fih_IH2-9pqq#IMiVdcZ4GyB zGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#dM3NVO)1~r($4Pi(_8QL(0 zHJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U z*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jg zO>0@(I@YzG^=)878`;<<{^j2`wVBOrVM|-t+BUYeo$c-5KmKb+JK5PTcD0+`?O{)Q z`JcV*V_*B(-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu!o#IrdIo%n~be6N7<6P%C z-vusok&9j8QkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^beFr`<6if<-vb`>kcU0u zQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykB zuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A(B!z#s-1)L;fTgdq)OXu}xRaE3R65shSI zqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9 z&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>i zw}B09WMiB7mw(&TW;VBlEp26M+t}82wzq@-_^%!9WM{kB)oymThdu4(fA+SIeeGv| z2RP6{4t9t`9p-RHIMPv$c8p^k=XfVL(Me8ric_8DbZ0ozS|d)?=L4|vc+9`=YwJ?3#wc+yjz z_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+ z(NBK%i(mcbcYpZPU;g$_K;V!7gBWN~gBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ zma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX z0v5E8g)L%Hi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcwvz z{%up6+1wVkw3V%GV_VzV-VXlbzjm~fo$X>*yV>0y_OzG(+1oz$wV(YR;6MjC*dY#e zn8O|6NJlx^F^+Yd{ns zHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)X zFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH* z{ozl4`P)AMfkOifVxU0{W^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rl zn9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuyiFw@qzkb6eQb zR<^c{ZEa_JJNS?P+R;vSwu@cuW_Nqo(_a2(Z~NHSe)e~O10CdGhd9(>4tInj9pz}p zIM#8FcY+h0_Z7c-C{C_ktI_T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7 zmbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCjRB$Hno|}ZDC7W+1fU?wVmzl z;6MIrM?2ZsE_St>-R)sdd-Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN z?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy#4Jis6Z8q{D0 zH-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy z)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc_?Lg%)Mhrfg)MDmYunh?cDA>J|M;&R?PO=W z*wt=!w}(CL<$w0JkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K` z(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zc zeh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6 zPkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9;^0D~B4P=guV5Qa3Ap$%hL z!x`QPMl_O)WQj?k76s9zlsZC>A)0y53W;BzT z&0}C z|JmC<_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#i zUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT! z^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln z+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0f8d}3}T=`4Q6md7}8LNHjH5nXLutR(MU!% zicyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51 zT;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&`L|7NW^-HE(pI*%jcsjbdpr1#|Ju<`cD9RM?Phm-*wbGAXK(x1*M9bQ zfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^aiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{ zU_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*e3qv z-!`?G&23>zTiMz+wzZw@?chKDYezfT*)Ddqo89eUPkZ^Fz3pRP``OpT1dE678^pvMP<5|yn-V0vz zl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn) zAO7^0zx@*sI6A-}1{&001~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@ z2~A{TlbFfh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt z+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|W zS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%;$Qx4Q=8e`7PhpNt!-ml+u7a@ z{^P%Pw3D6fVpqG_-5&O|m;c$@KK8Yr{T<*y2RYaw4t1Er9pOkvIodIfb)4g!;6x`m z*(pwSn$w-(OlLXUInH&S^IhOV7rEFaE_Ip9UExYsx!N_Zb)D&t zPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4 z_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLSb0}NuIK@Dbb zLm1LfhBl004QF^G7|}>ZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$af zO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#W zwu)7)W_4>=(^}THj&-ePeH+-&MmDyIfBCmfZDw;@*wR+EwvBCVXL~#NkN?`yPIk77 zUF~Lfd)U)n{%3Fd*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O$!?Fo=N$HJHH-VMs$6+AxMS zoZ*dNL?ap5C`L7!(T!nDV;S2x#x(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^ zk9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^ zedAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5I8=-AO;%LU!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2s zfemeBW1IMwf7{e%Hn)W>ZDniQ*w%Kow}b!quO01VXS>+dZg#haJ?-Ux_O_3G?Pq@n zIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<} z=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%H zjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZQ@`4 zZBv`s+!nU9m91@KTie;*4*ui6cC?e7?P6EE+1(!Yw3q+c+dlTSpZy)+KnFS4Ar5tz z!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3X zu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJ zdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q z;ZJ}0+dlz;69Wulpg|30a6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww z&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@C zaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iGTUGO>Jg#TiDW8 zwziFJZD)Hs_>ceE(N1=@i(TzzcYD~=UjAoq``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#e1 z3NVO)1~r($4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JK zO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us| zwVdUxU_~og*(z4Gn$@jgO>0@(I@YzG^=)878`;<<{^j2`wVBOrVM|-t+BUYeo$c-5 zKmKb+JK5PTcD0+`?O{)Q`JcV*V_*B(-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu! zo#IrdIo%n~be6N7<6P%C-vusok&9j8QkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^ zbeFr`<6if<-vb`>kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0 z-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A(B#z#s-1)L;fT zgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3 zbf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1t zt60@)R=0*Vtz~WNSl4>iw}B09WMiB7mw(&TW;VBlEp26M+t}82wzq@-_^%!9WM{kB z)oymThdu4(fA+SIeeGv|2RP6{4t9t`9p-RHIMPv$c8p^k=XfVL(Me8ric_8DbZ0oz zS|d)?=L z4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-E zedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;V=BgBWN~gBjcqhBTC+4P#it z8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUl zVpg-6-5lmLm$}VjUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=k zmbI;8UF%uj1~#;jjcwvz{%up6+1wVkw3V%GV_VzV-VXlbzjm~fo$X>*yV>0y_OzG( z+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreG zn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDig zH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfl~tvVxU0{W^h9o(olvrjA0FDcq16mNJch_ zQH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53 z*w98cwuyiFw@qzkb6eQbR<^c{ZEa_JJNS?P+R;vSwu@cuW_Nqo(_a2(Z~NHSe)e~O z10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7 zc-C{C_ktI_T{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6d zK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCjRB$ zHno|}ZDC7W+1fU?wVmzl;6MIrM?2ZsE_St>-R)sdd-Bn+!d~Lm8)Ij zTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy#4J-{Fa8q{D0H-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cp zO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc_?Lg%)Mhrfg)MDm zYunh?cDA>J|M;&R?PO=W*wt=!w}(CL<$w0JkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V= z9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+ zc8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p) z(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9;| z0D~B4P=guV5Qa3Ap$%hL!x`QPMl_O)WQj?k7 z6s9zlsZC>A)0y53W;BzT&0}C|JmC<_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1f zDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+# zce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gM zec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0f93E3}T=`4Q6md z7}8LNHjH5nXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQab zXL>W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(Mndf zidC&`L|7NW^-HE(pI*%jcsjbdpr1#|Ju<`cD9RM z?Phm-*wbGAXK(x1*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a ziEIG^RD3>CIq9Gnv^e zW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`T zS=&0+wVw5DU_%?(_@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}FxuJfGl0vEc-#V&EF%UtdXSGvm8u5qpF zT<-=qy2;IMajVOd)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lb zyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9VfyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xny&wGOCqMheuYU8pKm6%0fBPpOa87_h z3^b_03~mTR8p_ayF|6SXZv-P6$;d`As?m&Y3}YJ0*v2ug@r-W*6Pn1xCNZhWOl}HO zn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_`OI$t3tGs+7O|+s{L8;BZVCVKUrSob z(w4ET86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{* zI?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0t6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6 z?H>2K&;1_opocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$*#G)4SFaNf)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_J zH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnBUao~_d!HG_CvQwPuG^abmna*;y zbDZlu=exj#E^@I;TpIuF!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD z9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@ZhA^a|3~d<08qV-W zFrtx+Y!ss!&FIE3rm>7|9OD|#_$Dx+iA-z~lbX!rrZAZ~-m8@(Pt6I(K z*083vtZg0ZTF?KiZvz|J$i_CYsm*L|3tQUC*0!;&?QCxcJKD+4cCoA7>~0Tx+RNVd zv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{y35_}aj*N_?*R{b$ip7-sK-3+ z2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w3t#%m*S_(s z?|knEKl;hfe(|f{{O%8b`pe(`2?(4YU=RZhYA}Nv!jOhCv|$WuIKvykh(EENeN-TfvG}va(gIYBj4{!qnx-*>VEN45%xz2OG3tZ?T7rVrzE_1mn zT-Jn1P z#jk$zyFdKtFMspxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYj{LlI}u%V4? zY!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3 zq@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_>=Ku{%;m0drK?=+8rQnc z^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX8P9so^Iq_xm%Qv1 zuX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2 z{OzBBz=Z(@G0>m}Gq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~#xuSNOlTq# zo5Z9hGr1{DX)05j#Am2o7=*c zwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8LmcWbhdaWNj&ihP9P2p8 zJHd%gaNKZ2!g)VZjOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF>NT%>!<*jn zws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cx7X=u^ zK!Y00;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv5|f(DejHPwXAI&>srtMtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y z2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q z)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%U^xvbJ@sYd!z7z71?>BOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SS zv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;doaiJcJH@F^bGkE}=`3eE z$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG>$Gz@zzXv?% zArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7KrpBOm+3r#|z! zFMR1MU;D!z3got z``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiX zT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-tJnRvVdd%aV z@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP@TISO?Hk|v z&i8)sqo4fj7r*+=@BZ+ozx?f=fWV~z1~Jf}1~a%J3~4As8^*APGrSRuXe1*W#i&Lz zx-pDtEMptTxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5 zyyi2%1uSSG3tPmZ7V|Ivwzwty$A2wpDN9?%vX-;F6|86_D_g~?R}of=+rysrvbTNgYd`xtz<~~O zutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYyu}fU)GMBr; zm9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0utz-VF^_w~lb-UlXFTgU z&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J-}}Lje)6+l z{OUKq`@^69^0$8i0+$6C#6W`@%;1JFq@fIL7{eOQ@J2AAk&J8$rnRhX9qU@p|EzBV8`{Xm zHnFMAY;Fr%+RE0pv90ZFZwEWt$LTSM9`UHhJnjikddkzD@vP@O?*%V<$;)2x zs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$%Xd@fj#HKd0xh-sI zD_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9>#GwvzxFa0tC`UWSv5s@R z6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5H zZgaak-03cNyT`rmbH4{X=phe##G@YbxFqGY;7Cc+RpZN zu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu z&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k z9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK99q)S2`#$iY zk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMb!X0R}P9pawIzAq;6K zLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9Q=7)LrZc@6 z%xESvo5ieVGrKv=X)be{$Gqkxv#+rWl4vawBUYBQVL!j`tOwQX!`JKNjAj&`!MUF>Q% zyW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58|Q=IBFr#r)$&T_VM zoa;R2yTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(#-0ME~d%%Mp z@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j^07~R>NB7F z!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s>bB7{ow>8qDB^Fr=XjZ5YEE&hSPs zqLGYj6r&o==*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5qnXTX7PFeo z?B+11xy)@I^P1267O@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}FxuJfGl0vEc- z#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajVOd)vpp_OrhO9Oxhi zJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9VfyTqj~bGa*A z=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xny&wGOCqMhe zuYU8pKm6%0fBPpOa7}8 z6Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>m zqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0t6c3G*SgO2 zZg8WU-0T*&y3OtGaHqT6?H>2K&;1_opocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$*#G)4SFaNf< zCH%*KEomuBTgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4LeQ>)XJFHnOozY-%%`+rpN% zvbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnBUao~_d z!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF!HsTmvs>Ki zHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@ZhA^a|3~d<08qV-WFrtx+Y!ss!&FIE3rm>7|9OD|#_$Dx+iA-z~lbX!rrZAZ~-m8@(Pt6I(K*083vtZg0ZTF?KiZvz|J$i_CYsm*L|3tQUC*0!;&?QCxc zJKD+4cCoA7>~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{y35_} zaj*N_?*R{b$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD z$j3hMsn2}w3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?$&tU=RZhYA}Nv!jOhC zv|$WuIKvykh(EENeN-TfvG} zva(gIYBj4{!qnx-*>VEN45% zxz2OG3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKtFMspxtmQ0k1uI&~%2u(e)vRs} zYg)_N*0HYj{LlI}u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_ z>=Ku{%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$ zq^CUX8P9so^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ z_kQrBpZx3>zxvJZ{_v;2{OzBBz>NV0G0>m}Gq@oPX(&S*#;}Gnyb+9OBqJNes75oo zF^p*}V;je~#xuSNOlTq#o5Z9hGr1{DX)05j#Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8 zLmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1 zu5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2n zd%=rd^0HUF>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3 z>Nmgp!=L{0w|@cxHw75PK!Y00;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no z&-f-Vp@~dv5|f(DejHPwXAI&>srtMtZxGw+Q`N> zv8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&RZ z%F&K-tm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx z1~wN%F~|ltmi!M1uuHZ%U^xvbJ@sYd!z7z71?>BOBYqrZ%&=Eo^Bk zTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;d zoaiJcJH@F^bGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?; zbGtj-=`MG>$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2 z$GhJ1z7KrpBOm+3r#|z!FMR1MU;D!z3got``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P z>CSMbvz+Z5=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&_ z_qxyh9`K-tJnRvVdd%aV@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNB zeC!jS`poCP@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWWN*1~Jf}1~a%J3~4As z8^*APGrSRuXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwi zXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG3tPmZ7V|Ivwzwty$A2wpDN9?%vX-;F6|86_ zD_g~?R}of= z+rysrvbTNgYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v z>pbVXz=bYyu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0 zutz-VF^_w~lb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmy zm%j3~Z+z=J-}}Lje)6+l{OUKq`@^69^0$8i0=ESi#6W`@%;1JFq@fIL7{eOQ@J2AA zk&J8$ zrnRhX9qU@p|EzBV8`{XmHnFMAY;Fr%+RE0pv90ZFZwEWt$LTSM9`UHhJnjik zddkzD@vP@O?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC z?*~8n$%Xd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9> z#GwvzxFa0tC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`h zDp$M4wXSo$8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxFLG^oK0ZU{pf%Fu=}tlqGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi z&FkLqrnkK99q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E| zpMb!f0R}P9pawIzAq;6KLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$ zq$V@DDNJc9Q=7)LrZc@6%xESvo5ieVGrKv=X)be{$Gqkxv#+rWl4vawBUYBQVL!j`tO zwQX!`JKNjAj&`!MUF>Q%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA| ziB58|Q=IBFr#r)$&T_VMoa;R2yTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4 zx4Xlg?sB(#-0ME~d%%Mp@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0 zyz4#h`@n}j^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s?mh7{ow> z8qDB^Fr=XjZ5YEE&hSPsqLGYj6r&o==*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$X zZ5q>>&h%z5qnXTX7PFeo?B+11xy)@I^P1267O?vl^^7Ou%x9dZ5hj2&hl2UqLr*{6{}j!>ejHP zwXAI&>srtHHn5?MY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc?)I>!z3got``XX` z4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-tJnRvVdd%aV@T8|a z?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP@TISO?Hk|v&i8)s zqo4fj7r*+=@BZ+ozx?f=fWX}W1~Jf}1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDt zEMptTxW+TS2~21r6Z@Bco5Z9hGr9lxuPIDvDpQ-rw5Bt?8O&%VGn>V%W;44v%xNxj z`=5EtYd-T^z=9UCuth9tF^gNml9sZxWh`qs%Ui*UR^xvbJ@sYd!1R zz=k%mu}y4hGn?DOmbS9BZER~h+uOm8cCxcw>}of=+rysrvbTNgYd`xtz<~~OutOZ` zFo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYyu}fU)GMBr;m9BEN zYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0utz-VF^_w~lb-UlXFTgU&wIg( zUh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J-}}Lje)6+l{OUKq z`@^69^0$8i0`~+M#6W`@%;1JFq@fIL7{eOQ@J2AAk&J8|g$E5|f(DLTSM9`UHhJnjikddkzD@vP@O?*%V<$;)2xs@J^k z4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$%Xd@fj#HKd0xh-sID_h&f zwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9>#GwvzxFa0tC`UWSv5s@R6P)NI zCp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5HZgaak z-03cNyT`rmbH4{X=phe##G@YbxF$rnRhX9qU@p`ZlnkjcjZao7&9gwy>qGY;7Cc+RpZNu%n&q zY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi? zrn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k9{0M> z{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK99q)S2`#$iYk9_PC zpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMb#q0R}P9pawIzAq;6KLmS4h zhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`|Q%yW7K_ z_OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58|Q=IBFr#r)$&T_VMoa;R2 zyTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(#-0ME~d%%Mp@~}rd z>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j^07~R>NB7F!k51C zwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s;>N7{ow>8qDB^Fr=XjZ5YEE&hSPsqLGYj z6r&o==*BRnv5ajT;~LNSCNQCiOzdC&Z4#53%;f&#zosyysZ4De)0)ooW-z0f%xo63 zn$7IyFsHf9?SJMmuldYx0Sj8l!WOZp#Vl?KOIpg(ma(kmEN=xXTFJ^*v8vUqZVhW% z%i7kluJx>M0~^}N#x}93&1`N9TiVLjwy~}4Y;OlU+R4s#v8&zeZV!9f%ii{}ul?-r z00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}FxuJfGl0vEc-#V&EF z%UtdXSGvm8u5qpFT<-=qy2;IMajVxv#Od)vpp_OrhO9OxhiJH(+5 zbGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9VfyTqj~bGa*A=_*&d z#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xny&wGOCqMheuYU8p zKm6%0fBPpO@KAt33^b_03~mTR8p_ayF|6SXZv-P6$;d`As?m&Y3}YJ0*v2ug@r-W* z6Pn1x{^j2$F{#N+?mzx(3R9ZO)TS}5=}d11Gn&cFW-+VT%x(^In#86Pw!1 z=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR z$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0t6c3G*SgO2Zg8WU z-0T*&y3OtGaHqT6?H>2K&;1_opocu{5s!Mz)XJFHnOozY-%%`+rpN%vbAk& zYdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnBUao~_d!HG_C zvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF!HsTmvs>KiHn+RO zo$hkCd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@Z zhA^a|3~d<08qV-WFrtx+Y!ss!&FIE3rm>7|9OD|#_$Dx+iA?NY{%sPIn#|<>~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{y35_}aj*N_ z?*R{b$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hM zsn2}w3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?#tIU=RZhYA}Nv!jOhCv|$Wu zIKvykh(U8w@FNDGL!p{|C+*-rZTl@OlvyR zo574`GP7CCYBsZ*!<^Pyyi2%1uSSG3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK z#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYcXeT?{#jbXqnx-*>VEN45%xz2OG z3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKtFMsqCNZhWOzuDaYYJ1E%G9PYt?5i}1~Zz;%w{pG z+01SZbDGQC{%0QZn$P?eu%Lx3Y!QoE%;J`?q@^rv8OvJE@>Z~-m8@(Pt6I(K*083v ztZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_>=Ku{ z%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX z8P9so^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrB zpZx3>zxvJZ{_v;2{OzBBz~cc1G0>m}Gq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*} zV;je~#xuSNOlTq#`EENeN-TfvG}va(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8LmcWb zhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1u5z_& zTNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd z^0HUF>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp z!=L{0w|@cxPXrjmK!Y00;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-V zp@~fFU;b?plbX!r{^P%>&h%z5qnXTX7PFeo?B+11xypxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYjtZxGw+Q`N>v8l~$ zZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K- ztm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%UBOBYqrZ%&=Eo^BkTieFA zwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;doaiJc zJH@F^bGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj- z=`MG>$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1 zz7KrpBOm+3r#|z!FMR1MU;Du%x9dZ5hj2 z&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?MY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$* z7rWZc?)I>!z3got``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMb zvz+Z5=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh z9`K-tJnRvVdd%aV@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS z`poCP@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWXrM1~Jf}1~a%J3~4As8^*AP zGrSRuXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Z@Bco5Z9hGr9lxuPIDvDpQ-rw5Bt? z8O&%VGn>V%W;44v%xNxj`=5EtYd-T^z=9UCuth9tF^gNml9sZxWh`qs%Ui*UR^xvbJ@sYd!1Rz=k%mu}y4hGn?DOmbS9BZER~h+uOm8cCxcw>}of=+rysr zvbTNgYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVX zz=bYyu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0utz-V zF^_w~lb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~ zZ+z=J-}}Lje)6+l{OUKq`@^69^0$8i0?z~(#6W`@%;1JFq@fIL7{eOQ@J2AAk&J8< zqZ-ZV#xSO_jBOm_8qfG9FrkS|>|g$E5|f(DL zTSM9`UHhJnjikddkzD z@vP@O?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n z$% zXd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9>#Gwvz zxFa0tC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`hDp$M4 zwXSo$8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxF$rnRhX9qU@p`ZlnkjcjZao7&9g zwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLq zrnkK99q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMb#g z0R}P9pawIzAq;6KLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`|Q%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58| zQ=IBFr#r)$&T_VMoa;R2yTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg z?sB(#-0ME~d%%Mp@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h z`@n}j^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s=1t7{ow>8qDB^ zFr=XjZ5YEE&hSPsqLGYj6r&o==*BRnv5ajT;~LNSCNQCiOzdC&Z4#53%;f&#zosyy zsZ4De)0)ooW-z0f%xo63n$7IyFsHf9?SJMmuldYx0Sj8l!WOZp#Vl?KOIpg(ma(km zEN=xXTFJ^*v8vUqZVhW%%i7kluJx>M0~^}N#x}93&1`N9TiVLjwy~}4Y;OlU+R4s# zv8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gSajMgt?hI!- z%h}FxuJfGl0vEc-#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajVxv#O zd)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa&U3yC zT<9VfyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2 z^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR z#<#xny&wGOCqMheuYU8pKm6%0fBPpO@KS(53^b_03~mTR8p_ayF|6SXZv-P6$;d`A zs?m&Y3}YJ0*v2ug@r-W*6Pn1x{^j2$F{#N+?mzx(3R9ZO)TS}5=}d11Gn&cFW-+VT z%x(^In#86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@ zpo1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn z<*sn0t6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6?H>2K&;1_opocu{5s!Mz)XJF zHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KK zJHnBUao~_d!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZt< zvRAz7HLrWao8I!acf9L8@B6@qKJu|oeCjiw`@)yL^0jY#>pS23!H<6OvtRt`H^2MC zpZ@ZhA^a|3~d<08qV-WFrtx+Y!ss!&FIE3rm>7|9OD|#_$Dx+ ziA?NY{%sPIn#|<>~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf z;~ehs;>!H@eBq zZgH#I-0lu{y35_}aj*N_?*R{b$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKe zddu71@vis0?*kwD$j3hMsn2}w3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?)Fz zU=RZhYA}Nv!jOhCv|$WuIKvykh(U8w@FND zGL!p{|C+*-rZTl@OlvyRo574`GP7CCYBsZ*!<^Pyyi2%1uSSG3tPmZ7PGh| zENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xG zv%MYcXeT?{#jbXqnx-*>VEN45%xz2OG3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKtFMsqCNZhWOzuDaYYJ1E z%G9PYt?5i}1~Zz;%w{pG+01SZbDGQC{%0QZn$P?eu%Lx3Y!QoE%;J`?q@^rv8OvJE z@>Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEE zyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu) zob4RvI?wqoaG{G_>=Ku{%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{ z@Sul0>=BQ8%;TQ$q^CUX8P9so^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2* z%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2{OzBB!0Q19G0>m}Gq@oPX(&S*#;}Gn zyb+9OBqJNes75ooF^p*}V;je~#xuSNOlTq#`EENeN-TfvG}va(gI zYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoC zw|(quKl?ktfev!8LmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2! zg)VZjOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5= zk9)$Cp7OM3JnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwi zeCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cxZv+^`K!Y00;D#`yp$u&p!y3-;Mlhn0jBFI6 z8qMg&Fs8ALZ5-no&-f-Vp@~fFU;b?plbX!r{^P%>&h%z5qnXTX7PFeo z?B+11xypxtmQ0k1uI&~%2u(e)vRs}Yg)_N z*0HYjtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^v zI>^Beaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB( z?h04B%GIuMt?OLx1~wN%F~|l ztmi!M1uuHZ%U zBOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0dp$>Dn zBOK`{M?1!`j&r;doaiJcJH@F^bGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fw zu5-N`+~_7ZyTz?;bGtj-=`MG>$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_ zd&R3>^SU>@=`C-2$GhJ1z7KrpBOm+3r#|z!FMR1MU;D~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{y35_}aj*N_?*R{b z$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w z3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?)F$U=RZhYB2xuZ-X1ckcKj}{}{%w zhBLeojA$ey8^x$bGrIr!pD~PSEMptTxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwi zXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}b zx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYcXeT?{#jbXqnx-*>VEN45%xz2OG3tZ?T z7rVrzE_1mnT- zJn1P#jk$zyFdKtFMscS8p_cAV;I94&hSPsqLGYj z6r&o==>F?}#xSO_jBOm_8qfG9FrkS|Y!Z{2%;csprKwD98q=E2^ky)lnape!vzpE9 z<}jzZ%xxa?n$P?eu%Lx3Y!QoE%;J`?q@^rv8OvJE@>Z~-m8@(Pt6I(K*083vtZg0Z zTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_>=Ku{%;m0d zrK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX8P9so z^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3> zzxvJZ{_v;2{OzBBz`FqkG0>m}^DqB4xFHN_C`0>?VGL_H!yCbfMl!NdjA}Ha`>+2Q z!EENeN-TfvG}va(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8LmcWbhdaWN zj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF z>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0 zw|@cx?*$mdK!Y00zx>pxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYjtZxGw+Q`N>v8l~$ZVOx5 z%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q- z1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%UBOBYqrZ%&=Eo^BkTieFAwzIt* z>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;doaiJcJH@F^ zbGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG> z$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7Krp zBOm+3r#|z!FMR1MU;D>&h%z5qnXTX7PFeo?B+11xy)@I^P1267Ou%x9dZ5hj2&hl2U zqLr*{6{}j!>ejHPwXAI&>srtHHn5?MY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc z?)I>!z3got``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5 z=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-t zJnRvVdd%aV@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP z@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWU_V1~Jf}2J^xvbJ@sYd!1Rz=k%mu}y4hGn?DOmbS9BZER~h+uOm8cCxcw>}of=+rysrvbTNg zYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYy zu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0utz-VF^_w~ zlb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J z-}}Lje)6+l{OUKq`@^69^0$8i0v`n!#6W`@%)k8G;D#`yp$zRmhB2(+3~vM@8p+5; zF{;sw?!W$L3}YJ0*v2ug@r-W*6Pn1xCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM z4s)8z+~zT_`OI$t3tGs+7O|+sEN%%)TFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI z^{j6L8`{XmHnFMAY;Fr%+RE0pv90ZFZwEWt$LTSM9`UHhJnjikddkzD@vP@O z?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$mj90}X00|MG8x8^Vx=GPM5~#;}Gnyb+9OBqJNes75oo|N5UX zjA<-m8^^fDGrkE-Xd)Av#H1!OxhYI(DpQ-rw5Bt?8O&%VGn>V%W;44v%xNxjo5#H7 zGrt8aXdw$*#G)3nxFsxUDN9?%vX-;F6|86_D_g~?R%Xd@fj z#HKd0xh-sID_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9>#GwvzxFa0t zC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`hDp$M4wXSo$ z8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxF!y3-;Mlhn0jBFI68qMhb>wm^Drm>7|9OD|# z_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`ZlnkjcjZao7&9gwy>qG zY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W z&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK9 z9q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMb!p0R}P9 zpa%0V|2DWG3~4As`;TD^YdFIj!H7mOvQdm`G^6{k{~5!W#xk~XjB7mOo4|x7GOQ%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58|Q=IBF zr#r)$&T_VMoa;R2yTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(# z-0ME~d%%Mp@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j z^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s@}}7{ow>8qB}^+u(*U zq@fJ$KZY@^;S6sCBO1xbMlq_M0~^}N#x}93&1`N9TiVLjwy~}4Y;OlU+R4s#v8&ze zZV!9f%ii{}ul?-r00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}Fx zuJfGl0vEc-#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajVxv#Od)vpp z_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9Vf zyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG z=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xn zy&wGOCqMheuYU8pKm6%0fBPpO@I`<@3^b_0{L8-$ZU{pf%FzB}7{eOQ@J2AAk&J8< zqZ-ZV{_B6nFs8ALZ5-no&-f-Vp@~dv5|f(D86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj z5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0 zt6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6?H>2K&;1_opocu{5s!Mz)XJFHnOoz zY-%%`+rpN%vbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnBU zao~_d!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF z!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@Z< ze*ywu1sKFYgBr}g{M+D$Fr=Xj?LUSwtl~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~eh< zCpyW=PI0Q!obC)~I?LJ4ajx^6?*bRP$i*&ksmomM3Rk+y)vj@^>s;>!H@eBqZgH#I z-0lu{y35_}aj*N_?*R{b$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71 z@vis0?*kwD$j3hMsn2}w3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?%^0U=RZh zYB2xuZ-X1ckcKj}{}{%whBLeojA$ey8^x$bGrIr!pD~PSEMptTxW+TS2~21r6Pv`O zCNsGyOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlA zTgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYc zXeT?{#jbXqn zx-*>VEN45%xz2OG3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKtFMscS z8p_cAV;I94&hSPsqLGYj6r&o==>F?}#xSO_jBOm_8qfG9FrkS|Y!Z{2%;csprKwD9 z8q=E2^ky)lnape!vzpE9<}jzZ%xxa?n$P?eu%Lx3Y!QoE%;J`?q@^rv8OvJE@>Z~- zm8@(Pt6I(K*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j} z_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4Rv zI?wqoaG{G_>=Ku{%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0 z>=BQ8%;TQ$q^CUX8P9so^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!F zrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2{OzBBz_$SgG0>m}^DqB4xFHN_C`0>?VGL_H z!yCbfMl!NdjA}Ha`>+2Q!EENeN-TfvG}va(gIYBj4{ z!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(qu zKl?ktfev!8LmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZj zOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$C zp7OM3JnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k z`@xTX^0Qz3>Nmgp!=L{0w|@cx-vt=NK!Y00zx>pxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYj ztZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Be zaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B z%GIuMt?OLx1~wN%F~|ltmi!M z1uuHZ%UBOBYq zrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{ zM?1!`j&r;doaiJcJH@F^bGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N` z+~_7ZyTz?;bGtj-=`MG>$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3> z^SU>@=`C-2$GhJ1z7KrpBOm+3r#|z!FMR1MU;D>&h%z5qnXTX7PFeo?B+11xy)@I^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?MY-|&o+RWy*u%)eR zZ5!L#&h~b&qn+$*7rWZc?)I>!z3got``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbug zqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiXT6^qnq697Pq?1 z?e1`=yWH&__qxyh9`K-tJnRvVdd%aV@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g z?|RSsKJcNBeC!jS`poCP@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWVId1~Jf} z2J^xvbJ@sYd!1Rz=k%mu}y4hGn?DOmbS9BZER~h+uOm8 zcCxcw>}of=+rysrvbTNgYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{E zJHwgIa<+4v>pbVXz=bYyu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj z>pu5;z=Iz0utz-VF^_w~lb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBa zu}^&JGoSmym%j3~Z+z=J-}}Lje)6+l{OUKq`@^69^0$8i0zU;9#6W`@%)k8G;D#`y zp$zRmhB2(+3~vM@8p+5;F{;sw?!W$L3}YJ0*v2ug@r-W*6Pn1xCNZhWOl}HOn#$Cs zF|FxLZw51($;@UktJ%zM4s)8z+~zT_`OI$t3tGs+7O|+sEN%%)TFTOvv8?4RZv`t_ z$;wu-s@1G+4QpD<+SakI^{j6L8`{XmHnFMAY;Fr%+RE0pv90ZFZwEWt$LTSM z9`UHhJnjikddkzD@vP@O?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh z`pVb7@vZNC?*~8n$V%W;44v%xNxjo5#H7Grt8aXdw$*#G)3nxFsxUDN9?%vX-;F6|86_D_g~?R%Xd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54 zv%doz=pY9>#GwvzxFa0tC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p z#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxF!y3-;Mlhn0jBFI6 z8qMhb>wm^Drm>7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p z`ZlnkjcjZao7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKR zT=w7W&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+ z@S>Nz>=mzi&FkLqrnkK99q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK z&F}v3r@#E|pMb!x0R}P9pa%0V|2DWG3~4As`;TD^YdFIj!H7mOvQdm`G^6{k{~5!W z#xk~XjB7mOo4|x7GOQ%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0 zv|}9WILAA|iB58|Q=IBFr#r)$&T_VMoa;R2yTFAmaN1zR!j-OawQF4KI@i0w zjc#(YTioh4x4Xlg?sB(#-0ME~d%%Mp@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*q zuY1Fr-txA0yz4#h`@n}j^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf z0s_AU7{ow>8qB}^+u(*Uq@fJ$KZY@^;S6sCBO1xbMlq_M0~^}N#x}93&1`N9TiVLj zwy~}4Y;OlU+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1t zI?2gSajMgt?hI!-%h}FxuJfGl0vEc-#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajVc#k5CaWr zF#qyzgB!w-hBCDO7{;)MGrSRuXe1*W#i&Lzy8rs0F^p*}V;je~#xuSNOlTq#o5Z9h zGr1{DX)05j#xv z#Od)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjc zGo0xxXFJEa&U3yCT<9VfyTqj~bGa*A=_*&d#JKX6mce}^E z?sLBfJm?`0d&Hw2^SCEG=_yZp#eCQ(| z`^2X{^SLj4=__CR#<#xny&wGOCqMheuYU8pKm6%0fBPpO@JE0_3^b_0{L8-$ZU{pf z%FzB}7{eOQ@J2AAk&J886Pw!1=C-h}(gi+Rg6v zu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi z&-pHJp^IGX5|_Hn<*sn0t6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6?H>2K&;1_opocu{ z5s!Mz)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L z`#Zpa4sx(V9O^KKJHnBUao~_d!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I; zTpIuF!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%}~R z^0a3>>p9PR!HZtpS23 z!H<6OvtRt`H^2MCpZ@ZfTJ9`Q*)LK2af zBqSvn$w@&^$tANeUjK?+frA{3<<#VJ8a zN>Q3Jl%*WysX#?4QJE@Kr5e?#K}~8=n>y5`9`$KJLmJVTCN!lP&1pePTG5&|w51*G z=|D$1(U~rEr5oMpK~H+on?CfVAN?7?Kn5|GAq-_0!x_OyMlqT(jAb0-nZQIQF_|e$ zWg63&!Axc`n>oy79`jkiLKd-@B`jqb%UQunR$y!A)*)n>*a)9`|{` zLmu&%Cp_gD&w0U1Uh$eYyyYG5`M^g$@tH4tNkn3jkd$O3Cj}`< zMQYNJmUN^i0~yIgX0ni#Y-A?~ImtzC@{pH&YE-8NHK|2y>QI+@)TaRrX+&e1(3EC0rv)u(MQhs7mUgtK10Cr^XS&dp zZgi&yJ?TYn`p}nt^k)DA8N^_QFqB~oX9Ob|#c0MbmT`<{0u!0UWTr5cX-sDZGnvI~ z<}jCe%x3`$S;S(Nu#{yiX9X)+#cI~DmUXOW0~^`IX11`EZER-;JK4o<_OO?I?B@Un zImBU(aFk;l=L9D?#c9rPmUEov0vEZ&Wv+0QYh33BH@U@a?r@iT+~)xgdBkI$@RVmf z=LIi$#cSU1mUq1810VUsXTI>2Z+zzmKl#OP{_r1v`4=G2zW@Xz5P=CoP=XPh5QHQY zp$S7+!V#VbL?jZCi9%GO5uF&sBo?uWLtNq!p9CZ%5s67cQj(FJ6r>~-sYydx(vhAF zWF!-r$wF4Lk)0gmBp12KLtgTcp8^!55QQm1QHoKV5|pGAr71&M%2A#QRHPD>sX|q% zQJospq!zWQLtW}op9VCf5shg=Q<~A77PO=lt!YDB+R>g4bfgoV=|We!(VZUjq!+#E zLtpyQp8*VH5Q7=QP=+y_5sYLMqZz|k#xb4=Ok@(1nZi`2F`XIAWEQiT!(8Sup9L&r z5sO*EQkJot6|7_xt69TZ*0G)qY-AIg*}_(~v7H_4WEZ>H!(R5Wp937^5QjO!QI2t( z6P)A}r#Zt}&T*a#T;vj$xx!Vhah)67I4f|8V?G-W7DIm%Okid3R9Rj5ies#AlS)S@Q6^rAO?=u1EPGk}2% zVlYD($}omAf{~13G-DXcIL0%9iA-WLQ<%y$rZa|!^2*vmflbAW>!;xI=z$}x^}f|H!$G-o)= zInHx|i(KL|SGdYGu5*K%+~PKOxXV56^MHpu;xSKn$}^txf|tDFHE(#!JKpnwk9^`Y zU--&5zVm~h{Ngu%_>aH*3lKP900I(-zyu*E!3a(WLK2G5gdr^92u}ne5{bw}Au7>` zP7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRCi`?WP zFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES0~*qZ z#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A91Fa7Ax00uIM!3<$2!x+v8 zMly=gjA1O}7|#SIGKtAdVJg#@&J1QUi`mR!F7uer0v57}#Vlbd%UI3|Rlxi$tXrMhOvxe zJQJA6BqlS3sZ3)!GnmONW;2Jm%ws+aSjZw4vxKEAV>v5W$tqT}hPA9?Jsa4_CN{H$ zt!!gEJJ`uCcC&}Q>|;L%ILILmbA+QD<2WZc$tg~AhO?aGJQujgB`$M?t6bwcH@L|y zZgYpb+~YnEc*r9j^Mt27<2f&Q$tzy-hPS-qJsKlsTne)EU__{+Zl zfddC1Ab|)>5P}kn;DjI~p$JVF!V-?~L?9xOh)fis5{>A@ASSVhO&sD9kN6}YA&E## z5|WaP>6Q1&n=e*!0uXxQH-tvz3eBdLW_{-QWF#jADM>|Y z(vX&Pq$dLz$wX$dkd00k*TVTw?cViczYB`HN|%21Yal&1m} zsYGR}P?c&_rv^2tMQ!R(mwMEv0S#$HW17&EW;CY-Eont-+R&DEw5J0d=|pF`(3Ng< zrw2XhMQ{4hmwxnT00SAsV1_W1VGL&kBN@eL#xRy~jAsH9nZ#tKFqLUcX9hEw#cbv< zmwC)*0Sj5gVwSL!Wh`d}D_O;A*07d!tY-ro*~DhHu$66WX9qjk#cuYnmwoK#00%k5 zVUBQ=V;tuMCppDw&Ty7q#cl3zmwVjj0S|e^W1jGoXFTTx zFL}jl-td-pyypWS`NU_w@Re_T=LbLe#c%%bAAk85Khfil%qTqs7NI$Q-!KjqdGOH zNiAwqhq~0GJ`HF{BO23$rZl5DEoezATGNKMw4*&8=tw6z(}k{dqdPt5NiTZShraZq zKLZ%ZAO&aK$t-3whq=sSJ_}gLA{MiR zr7UAPD_F@YR>(8$u4%YhrR4$KLeQenwWv)U>QayT zG@v1kXiO8D(v0S`pe3znO&i+Mj`nn*Bc13>7rN4o?)0E1z35FJ`qGd73}7IG7|alc zGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@EMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk%^TkGj`w`vBcJ%p7rye1 z@BH8=zxd4`{^KwI0t5~ofPe%dFhK}PFoF|;kc1*MVF*h&!V`grL?SX#h)Oh~6N8w< zA~tb|OFZI}fP^F>F-b^DGLn;ml%ygxX-G>t(vyLVWFj+J$VxV{lY^Y(A~$)+OFr^b zfPxgFFhwXzF^W@yl9Zw}WhhHI%2R=gRH8Cfs7f`eQ-hk+qBeD?OFin-fQB@pF->Sn zGn&(amb9WZZD>n7+S7rKbfPm|=t?)b(}SM$qBni$OF#NEfPoBRFhdy1ForXNk&I$A zV;IXg#xsG5Oky%qn94M!GlQATVm5P_%RJ_@fQ2k#F-us=GM2M~m8@blYgo%V*0X_) zY+^H8*vdAxvxA-NVmEu(%RcsVfP)<3Fh@AbF^+SBlbqr-XE@6_&U1l_T;eiUxXLxI zbAy}Q;x>1<%RTP%fQLNdF;95PGoJH;m%QRNZ+Oc)-t&QveBv`-_{ulF^MjxK;x~Wz zkH7p25I95t0uqS81R*HF2u=t>5{l4-AuQntPXrvz-t?g_{pimC1~Q1j3}Gn47|sYrGK$fRVJzbq&jcni ziOEc1D$|(G3}!Nm+00=s^O(;97P5%NEMY0jSk4MovWnHLVJ+)e&jvQKiOpTwNFfSSgrXFqI3*}aDN0j@ zvXrAd6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3IW1^OD_YZrwzQ)?9q33W zI@5)&bfY^x=t(bn(}%wFqdx-}$RGwYgrN*$I3pOzC`L1ev5aFp6PU;(CNqVpOk+AT zn8_?=Gl#j%V?GO5$RZZAgrzKFIV)JnDps?GwX9=38`#JuHnWATY-2k+*vT$-vxmLx zV?PHt$RQ4MgrgkeI43yCDNb{Svz+5R7r4kJE^~#eT;n=7xXCSUbBDX!<30~~$Ri%} zgr_{?IWKt0D_--4x4h#$ANa^8KJ$gIeB(Pm_{lGR^N0WV%fA4DLj@opfe1_xf)b42 zgdilL2u&Em5{~dhAR>{7OcbILjp)Q6Cb5W39O4p>_#_}9iAYQml9G(%q#z}!NKG2j zl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z?l%OP~C`}p4QjYRepdyv1 zOckn9jq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1(vJ3Ypd+2=Oc%P+jqdcI zC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4%oL_Fjp@u_CbO8$9Og2Q z`7B@|i&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7UC%f3q9`>@2{T$#Rhd9g; zj&h9SoZuv;3J>-%oo1$jqm*6C%^d3AO7Pn{{jRK9e{uYA}~P+N-%;Gf{=tFG+_u! zIKmTwh(sbXQHV-3q7#Fd#3D9vh)X=;lYoRIA~8uwN-~m@f|R5pHEBpoI?|JYjASA+ zS;$H@vXg_HI4f|8V?G-W7DIm%Okid3R9Rj5ies#AlS z)S@Q6^rAO?=u1EP zGk}2%VlYD($}omAf{~13G-DXcIL0%9iA-WLQ<%y$rZa|!^2*vmflbAW>!;xI=z$}x^}f|H!$ zG-o)=InHx|i(KL|SGdYGu5*K%+~PKOxXV56^MHpu;xSKn$}^txf|tDFHE(#!JKpnw zk9^`YU--&5zVm~h{Ngu%_>aH*3lKO=00I(-zyu*E!3a(WLK2G5gdr^92u}ne5{bw} zAu7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-ToNKXbbl8MY@AuHL)P7ZRC zi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k`p(@p=P7P{Oi`vwoF7>ES z0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0i{A91Fa7Ax00uIM!3<$2 z!x+v8Mly=gjA1O}7|#SIGKtAdVJg#@&J1QUi`mR!F7uer0v57}#Vlbd%UI3|Rlxi$tXrM zhOvxeJQJA6BqlS3sZ3)!GnmONW;2Jm%ws+aSjZw4vxKEAV>v5W$tqT}hPA9?Jsa4_ zCN{H$t!!gEJJ`uCcC&}Q>|;L%ILILmbA+QD<2WZc$tg~AhO?aGJQujgB`$M?t6bwc zH@L|yZgYpb+~YnEc*r9j^Mt27<2f&Q$tzy-hPS-qJsKlsTne)EU_ z_{+Zlfx`tLAb|)>5P}kn;DjI~p$JVF!V-?~L?9xOh)fis5{>A@ASSVhO&sD9kN6}Y zA&E##5|WaP>6Q1&n=e*!0uXxQH-tvz3eBdLW_{76<6rwOiC`vJkQ-YF|qBLbF zOF7C@fr?b3GF7NbHL6pCn$)5;b*M`{>eGORG@>z0Xi77h(}I??qBU)3OFP=rfsS;d zGhOIPH@eeEMhTBSjsY%vx1eZVl``6%R1JxfsJfpGh5ioHny{ao$O*ad)Ui9 z_H%%P9O5uXILa}ObApqc;xuPC%Q?<-fs0(?GFQ0DHLi1mo800yceu+v?(=|$JmN7= zc*--L^MaSW;x%u0%RAolfscIRGhg`1H@@?OpZwxCfB28T{0k5`LI461h`h{PlzDalAq3R04a)TALT z=}1ooGLnhRWFafr$W9J&l8fBrAusvJPXP*2h{6=1D8(pF2})9m(v+brs7?)PQj6Nup)U2PPXij#h{iObDa~k33tG~O*0iB5?PyO2I?{>GbfGKV=uQuM z(u>~op)dXD&j1E8h`|hDD8m@e2u3oB(Trg%;~38bCNhc1Okpb1n9dAlGK<;FVJ`ES z&jJ>*h{Y^nDa%;S3Rbd;)vRGH>sZeQHnNG$Y+)*>T;VF$xXul3a*NyC;V$>M&jTLvh{rtPDbIM$3tsYy z*Sz5^?|9D#KJtmreBmqK_|6Z0@{8a6;XnTJFF@dk0SHJS0uzLw1S2>h2uUbH6Na#a zBRmm^NF*W?g{VX$Ix&bzEMgOfxWpqq2}npH5|f0aBqKQ~NJ%PElZLdUBRv_&NG39q zg{)*FJ2}WnE^?EHyyPQ41t>@%3R8rl6r(sLC`l}a>$Rs8+g{e$qIy0EbEM_x@xy)le3s}e^7PEw< zEMqw-V?7(#$R;+kg{^F3J3H9PE_Snrz3gK@2RO(f4s(Q~9OF1AILRqa zbB42=<2)C*$R#dwg{xfSIybng5|8*KAR&oJOcIikjO3&sC8HNAm8eV=s#1;W)SxD{s7)Q}QjhvH zpdpQDOcR>YjOMhUC9P;p8`{#2_H>{lo#;##y3&pA^q?ob=uIE`(vSWOU?77S%n*h$ zjNy!6B%>J37{)S=@l0SMlbFmDrZSD`%wQ(7n9UsKGLQKzU?GcG%o3KejODCgC97D? z8rHIo^=x1xo7l`2wz7@w>|iIm*v%gHvXA{7;2?)M%n^=qjN_c(B&Rsd8P0N!^IYH} zm$=Lou5yj*+~6j+xXm5za*z8w;31EA%oCpSjOV=IC9inR8{YDc_k7?ZpZLrdzVeOl z{NN|Q_{|^w<1haL1dbelfCM5iK?q7Pf)j#}gd#Ly2unD^6M=|CA~I2kN;IMqgP6o3 zHgSkcJmQmpgd`#{Nk~dEl9Pgzq#`wGNJ~1>lYxw6A~RXYN;a~SgPi0dH+jfQKJrt5 zf)t`KMJP%!ic^A;l%h0cC`&oYQ-O+9qB2#eN;RregPPQ$Hg%{=J?hhdhBTrvO=wCp zn$v=ow4ya_XiGcV(}9k3qBC9SN;kUGgP!!FH+|?!Kl(F(fed0WLm0|1hBJbZjAArn z7|S@uGl7XrVlq>h$~2}kgPF`?HglNEJm#~2g)Cw*OIXS>ma~GDtYS55Sj#%rvw@9l zVl!LV$~LyMgPrVRH+$I2KK65fgB;>8M>xtcj&p*OoZ>WRILkTCbAgLo;xbpb$~CTY zgPYvqHg~woJ?`^>hdkmjPk72Rp7Vm2yy7))c*{H9^MQ|i;xk|P$~V6AgP;83H-Gq# zzx)djI7$El5{SSAAt=EJP6$F0iqM21Ea3=G1R@fN$V4G3(TGkAViJqk#33&6h))6% zl8D44At}j7P6|?ziqxbbE$K*41~QU~%w!=e*~m@~a*~VOD zP6JlYEp~Z)S)i*s80hL(ul@1p()L1P77Mniq^ED zE$wJe2RhP;&UB$G-RMpadeV#D^r0{P=+6KKGKj$pVJO2G&Im>_iqVW=EaMo@1ST?x z$xLA?)0oZ-W-^P}%waC`n9l+hvWUejVJXX4&I(qtiq))PE$dj%1~#&Z&1_*S+t|(y zcCw4z>|rna*v|nDa)`qm;V8#A&IwL(iqo9oEay1S1uk-l%Ut0q*SO9NZgPv;+~F?w zxX%L~@`%Sg;VI8}&I?}hir2j1E$?{G2R`zN&wSx4-}ufCe)5ao{NX?T@-IN(r~wE_ zAOaJFpadg0AqYt*LKB9tgd;o=h)5(N6NRWmBRVmNNi1R$hq%NeJ_$%jA`+8?q$DFb zDM(2wQj>hfi zl%qTqs7NI$Q-!KjqdGOHNiAwqhq~0GJ`HF{BO23$rZl5DEoezATGNKMw4*&8=tw6z z(}k{dqdPt5NiTZShraZqKLZ%ZAO&aK z$t-3whq=sSJ_}gLA{MiRr7UAPD_F@YR>(8$u4%YhrR4$ zKLeQenwWv)U>QayTG@v1kXiO8D(v0S`pe3znO&i+Mj`nn*Bc13>7rN4o?)0E1 zz35FJ`qGd73}7IG7|alcGK}GjU?ig$%^1cqj`2)jB9oZR6s9tb>C9jzvzW~s<}#1@ zEMOsvSj-ZZvW(@dU?r)hZbx46w6?sAX&Jm4XZc+3-?@{H%a;3cnk z%^TkGj`w`vBcJ%p7rye1@BH8=zxd4`{^KwI0tAj8fPe%dFhK}PFoF|;kc1*MVF*h& z!V`grL?SX#h)Oh~6N8wF-b^DGLn;ml%ygxX-G>t(vyLVWFj+J z$VxV{lY^Y(A~$)+OFr^bfPxgFFhwXzF^W@yl9Zw}WhhHI%2R=gRH8Cfs7f`eQ-hk+ zqBeD?OFin-fQB@pF->SnGn&(amb9WZZD>n7+S7rKbfPm|=t?)b(}SM$qBni$OF#NE zfPoBRFhdy1ForXNk&I$AV;IXg#xsG5Oky%qn94M!GlQATVm5P_%RJ_@fQ2k#F-us= zGM2M~m8@blYgo%V*0X_)Y+^H8*vdAxvxA-NVmEu(%RcsVfP)<3Fh@AbF^+SBlbqr- zXE@6_&U1l_T;eiUxXLxIbAy}Q;x>1<%RTP%fQLNdF;95PGoJH;m%QRNZ+Oc)-t&Qv zeBv`-_{ulF^MjxK;x~WzkH7p25I9Bv0uqS81R*HF2u=t>5{l4-AuQntPXrvz-t?g_{pimC1~Q1j3}Gn4 z7|sYrGK$fRVJzbq&jcniiOEc1D$|(G3}!Nm+00=s^O(;97P5%NEMY0jSk4MovWnHL zVJ+)e&jvQKiOpTw zNFfSSgrXFqI3*}aDN0j@vXrAd6{tuhDpQ53RHHgIs7WnqQ-`|LqdpC2NFy54gr+p3 zIW1^OD_YZrwzQ)?9q33WI@5)&bfY^x=t(bn(}%wFqdx-}$RGwYgrN*$I3pOzC`L1e zv5aFp6PU;(CNqVpOk+ATn8_?=Gl#j%V?GO5$RZZAgrzKFIV)JnDps?GwX9=38`#Ju zHnWATY-2k+*vT$-vxmLxV?PHt$RQ4MgrgkeI43yCDNb{Svz+5R7r4kJE^~#eT;n=7 zxXCSUbBDX!<30~~$Ri%}gr_{?IWKt0D_--4x4h#$ANa^8KJ$gIeB(Pm_{lGR^N0WV z%fA4DV+9}}fe1_xf)b42gdilL2u&Em5{~dhAR>{7OcbILjp)Q6Cb5W39O4p>_#_}9 ziAYQml9G(%q#z}!NKG2jl8*FbAS0Q`Oct_|jqKzgC%MQ?9`cfp{1l)dg(yrBic*Z? zl%OP~C`}p4QjYRepdyv1Ockn9jq22(Cbg(d9qLk#`ZS;+jc800n$nEsw4f!eXiXd1 z(vJ3Ypd+2=Oc%P+jqdcIC%x!RANtad{tRFsgBZ*ZhBA!dj9?_A7|j^QGLG>~U?P*4 z%oL_Fjp@u_CbO8$9Og2Q`7B@|i&)GOma>fHtY9UpSj`&NvX1p^U?ZE@%oet?jqU7U zC%f3q9`>@2{T$#Rhd9g;j&h9SoZuv;3J>-%oo1$jqm*6C%^d3AO7Pn{{jS#9e{uY zA}~P+N-%;Gf{=tFG+_u!IKmTwh(sbXQHV-3q7#Fd#3D9vh)X=;lYoRIA~8uwN-~m@ zf|R5pHEBpoI?|JYjASA+S;$H@vXg_HI4f|8V?G-W7D zIm%Okid3R9Rj5ies#AlS)S@Q6^rAO?=u1EPGk}2%VlYD($}omAf{~13G-DXcIL0%9iA-WLQ<%y$rZa|!^2*vmfl zbAW>!;xI=z$}x^}f|H!$G-o)=InHx|i(KL|SGdYGu5*K%+~PKOxXV56^MHpu;xSKn z$}^txf|tDFHE(#!JKpnwk9^`YU--&5zVm~h{Ngu%_>aH*3lKO?00I(-zyu*E!3a(W zLK2G5gdr^92u}ne5{bw}Au7>`P7Goai`c{=F7b#@0uqvl#3Ugp$w*ELQj&_)q#-To zNKXbbl8MY@AuHL)P7ZRCi`?WPFZsw%0SZ!x!W5w>#VAe*N>Yl_l%Xu;C{G0{Qi;k` zp(@p=P7P{Oi`vwoF7>ES0~*qZ#x$WR&1g;wTGEQvw4p8SXio<^(uvM=p)1|!P7iw0 zi{A91Fa7Ax00uIM!3<$2!x+v8Mly=gjA1O}7|#SIGKtAdVJg#@&J1QUi`mR!F7uer z0v57}#Vlbd%UI3|RYN+qP}nwr$(CvDKFCmThZy@7)6*`pCyV@u|;z?h9Y~%GbW}t?zvA2S57B&wlZ% z-~8?mfBMVc{s{<-A7BuJ8fY+s8^Vx=GPGd~YdFIj!H7mOvQdm`G@~2Cn8q@;ag1v` z)XJFHnOozY-%%`+rpN% zvbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnBUao~_d z!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF!HsTmvs>Ki zHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%~0c<=>w2wEy_8XFTgU&wIg(Uh=Y6yy`Wt zd&8UF^0s%p>pk!LpAUTKBOm+3r#|z!FMR1MU;D~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{y35_} zaj*N_?*R{b$ip7-sK-3+2~YZ$e|yT){^P%%@vP@O?*%V<$;)2xs@J^k4R3nO+urf6 z_q^|aKJcNBeC!jS`poCP@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWU+S1~I6C z1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Pv`OCNsGyOlc}p zo5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlATgI}Mv%D3o zXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYcXeT?{#jbX< zyFKh_FMHd^zV@@f103ie2Rp=}4s*C89O)=WJI1k&bG#Fr=p-jQ#i>qnx-*>VEN45% zxz2OG3tZ?T7rVrzE_1mnT-Jn3Kl?I}Z~-m8@(Pt6I(K z*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_ z>=Ku{%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$ zq<{Ihr#$UH{_7dfdd~A+@S>Nz>=mzi&FkLqrnkK99q)S2`~K$xANt70KJlr~eC`Wh z`pVb7@vZNC?*~8n$EENeN-TfvG}va(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8 zLmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1 zu5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$C{^j4E^0fc> zuV*~#InR5+i(c}wSG?*quY1Fr-txA0yz4#h`=1Yd=p!Hd#HT*pxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYjtZxGw+Q`N> zv8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&RZ z%F&K-tm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx z1~x&mw$W8)BfYXp7E^bJnscB zddbUP@v7In?hS8x%iG@ZuJ^p}e?IV`k9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK z&F}v3r@#E|pMb!m0R}Osfd(_UAq;6KLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBb zz6nfdA`_d$q$V@DDNJc9Q=7)LrZc@6%xESvo5ieVGrKv=X)be{$GqkBOBYqrZ%&=Eo^Bk zTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;d zoaiJcJH@F^bGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?; zbGtj-=`MG>$Gz@zzXv?%ArE`RqaO3PCp_t2{_QDG`;Y&6#NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf z0s@l-7{s6k8qDB^Fr=XjZ5YEE&hSPsqLGYj6r&o==*BRnv5ajT;~LNSCNQCiOl%U9 zn#|;;Fr}$XZ5q>>&h%z5qnXTX7PFeo?B+11xy)@I^P1267Ou%x9d zZ5hj2&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?MY-|&o+RWy*u%)eRZ5!L#&h~b& zqn+$*7rWZc?)I>!z3got``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P z>CSMbvz+Z5=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&_ z_qxyh9`K-tJnRvVdd%aV@T7nFx2HVqKmO|(&w9@DUhtxqyzCXPdd=(J@TRxC?H%uW z&-?!810VXx$3F3?&wTC+U;4_|zVWT^eD4Q8`pM6J@vGnb?hk+Z%isP92uvPe5Q7?M zFoPSykcKj}VGL_H!yCbfMl!NdjA}Ha8^f5!GPZGyYdqtdz=S3;u}Ms7GLxIal%_JZ zX-sQ6)0@GJW-_x`%xX5Xo5P&uGPilmYd-T^z=9UCuth9tF^gNml9sZxWh`qs%Ui*U zR^xvbJ@sYd!1Rz=k%mu}y4hGn?DOmbS9BZER~h+uOm8cCxcw>}of= z+rysrvbTNgYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v z>pbVXz=bYyu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0 zutz-VF^_w~lm6x3p7ON+_^)R?>p9PR!HZtLTSM9`UHhJnjik z`j>xu%G3Vizn<}|=REHPFM7$#Uh%5eyzUKeddu71@vis0?|(kV%W;44v z%xNxjo5#H7Grt8aXdw$*#G)3nxFsxUDN9?%vX-;F6|86_D_g~?R%Xd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9> z#GwvzxFa0tC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`h zDp$M4wXSo$8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxFpS23 z!H<6OvtRt`H^2MCpZ@Z7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`ZlnkjcjZa zo7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75l9`M0M$?LYqO8P9so^Iq_x zm%Qv1uX@ev-teZkyzL$Dde8g*=K~-5$j3hMsn2}w3t#%m*S_(s?|knEKl;hfe(|f{ z{O%8b`pe(`2?$IbU=V{EXfT5t!jOhCv|$WuIKvykh(Q%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA| ziB58|Q=IBFr#r)$&T_VMoa;R2yTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4 zx4Xlg?sB(#-0ME~d%%Mp@~}rd>M@Ud!jt~x-=6Zc|M;(GJnK2nd%=rd^0HUF>NT%> z!<*jnws*YiJ@5OU4}9n&AN$0oKJ&RReCaD+`^LAv^SvMZ=qEq>#jk$zyFdKtFMsM0~^}N#x}93&1`N9TiVLjwy~}4Y;OlU z+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gSajMgt z?hI!-%h}FxuJfGl0vEc-#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajV=U2*%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2{OzBBz_bAdF{ptC zGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~#xuSNOlTq#o5Z9hGr1{DX)05j z#xv#Od)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa z&U3yCT<9VfyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0 zd&Hw2^SCEG>0kcsDNp;4|9Zx=p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1zW@2ahd%PL zPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cx(*+pBpavSu;D#`yp$u&p z!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv5|f(D86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE z&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX z5|_Hn<*sn0t6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6?H>2K&;1_opocu{5s!Mzlx2_&huXIqL;kv6|Z{D>)!CDx4i8g?|RSs{^tW9`pCyV@u|;z?h9Y~ z%GbW}t?zvA2S57B&wlZ%-~8?mfBMVc{s{<7A7BuJ8fY+s8^Vx=GPGd~YdFIj!H7mO zvQdm`G@~2Cn8q@;ag1v`)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa4sx(V z9O^KKJHnBUao~_d!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%~0c<=>w2wEy_8 zXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!LpAUTKBOm+3r#|z!FMR1MU;D~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~ z(T;Jf;~ehs;>! zH@eBqZgH#I-0lu{y35_}aj*N_?*R{b$ip7-sK-3+2~YZ$e|yT){^P%%@vP@O?*%V< z$;)2xs@J^k4R3nO+urf6_q^|aKJcNBeC!jS`poCP@TISO?Hk|v&i8)sqo4fj7r*+= z@BZ+ozx?f=fWV9a1~I6C1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDtEMptTxW+TS z2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG3tPmZ z7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7 z+s3xGv%MYcXeT?{#jbXqnx-*>VEN45%xz2OG3tZ?T7rVrzE_1mnT-Jn3Kl?I}Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wN zo$PEEyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8) z&Tyu)ob4RvI?wqoaG{G_>=Ku{%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFs zy3hR{@Sul0>=BQ8%;TQ$q<{Ihr#$UH{_7dfdd~A+@S>Nz>=mzi&FkLqrnkK99q)S2 z`~K$xANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$EENeN-TfvG} zva(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v z!=CoCw|(quKl?ktfev!8LmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p* zM?C5=k9)$C{^j4E^0fc>uV*~#InR5+i(c}wSG?*quY1Fr-txA0yz4#h`=1Yd=p!Hd z#HT*pxtmQ0k1uI&~%2u(e)vRs} zYg)_N*0HYjtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1_OY-1 z?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;Y zajDB(?h04B%GIuMt?OLx1~x& zmw$W8)BfYXp7E^bJnscBddbUP@v7In?hS8x%iG@ZuJ^p}e?IV`k9_PCpZd(_zVM~5 zeC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMb!u0R}Osfd(_UAq;6KLmS4hhBLeojA$ey z8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9Q=7)LrZc@6%xESvo5ieVGrKv= zX)be{$GqkBOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfTAO}0d zp$>DnBOK`{M?1!`j&r;doaiJcJH@F^bGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+ zSG&fwu5-N`+~_7ZyTz?;bGtj-=`MG>$Gz@zzXv?%ArE`RqaO3PCp_t2{_QDG`;Y&6 z#NB7F!k51CwQqduJKy`k zkACvAU;OGfzx%_V{_?kf0s^xI7{s6k8qDB^Fr=XjZ5YEE&hSPsqLGYj6r&o==*BRn zv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5qnXTX7PFeo?B+11xy)@I^P126 z7Ou%x9dZ5hj2&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?MY-|&o z+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc?)I>!z3got``XX`4sf7@9PAK>I?UmYaHOLg z?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiXT6^ zqnq697Pq?1?e1`=yWH&__qxyh9`K-tJnRvVdd%aV@T7nFx2HVqKmO|(&w9@DUhtxq zyzCXPdd=(J@TRxC?H%uW&-?!810VXx$3F3?&wTC+U;4_|zVWT^eD4Q8`pM6J@vGnb z?hk+Z%isP92+ST}5Q7?MFoPSykcKj}VGL_H!yCbfMl!NdjA}Ha8^f5!GPZGyYdqtd zz=S3;u}Ms7GLxIal%_JZX-sQ6)0@GJW-_x`%xX5Xo5P&uGPilmYd-T^z=9UCuth9t zF^gNml9sZxWh`qs%Ui*UR^xvbJ@sYd!1Rz=k%mu}y4hGn?DOmbS9B zZER~h+uOm8cCxcw>}of=+rysrvbTNgYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^ zPI9tSoa!{EJHwgIa<+4v>pbVXz=bYyu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*B zyThICa<_Zj>pu5;z=Iz0utz-VF^_w~lm6x3p7ON+_^)R?>p9PR!HZtLTSM9`UHhJnjik`j>xu%G3Vizn<}|=REHPFM7$#Uh%5eyzUKeddu71@vis0 z?|(kV%W;44v%xNxjo5#H7Grt8aXdw$*#G)3nxFsxUDN9?%vX-;F6|86_ zD_g~?R%Xd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#scC))Z z>}fB1+sD54v%doz=pY9>#GwvzxFa0tC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@s zbG{2)=pq-p#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe# z#G@YbxFpS23!H<6OvtRt`H^2MCpZ@Z7|9OD|#_$Dx+iA-z~lbX!rrZA$ zrnRhX9qU@p`ZlnkjcjZao7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R z{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kpy zm%7a5u5hKRT=w7W&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75l9 z`M0M$?LYqO8P9so^Iq_xm%Qv1uX@ev-teZkyzL$Dde8g*=K~-5$j3hMsn2}w3t#%m z*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?)#`U=V{EXfT5t!jOhCv|$WuIKvykh(Q%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58|Q=IBFr#r)$&T_VMoa;R2yTFAmaN1zR!j-Oa zwQF4KI@i0wjc#(YTioh4x4Xlg?sB(#-0ME~d%%Mp@~}rd>M@Ud!jt~x-=6Zc|M;(G zJnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5OU4}9n&AN$0oKJ&RReCaD+`^LAv^SvMZ z=qEq>#jk$zyFdKtFMsM0~^}N#x}93 z&1`N9TiVLjwy~}4Y;OlU+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7iM>@*U zj&ZEx9Pb1tI?2gSajMgt?hI!-%h}FxuJfGl0vEc-#V&EF%UtdXSGvm8u5qpFT<-=q zy2;IMajV=U2*%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ z{_v;2{OzBBz`OwlF{ptCGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~#xuSN zOlTq#o5Z9hGr1{DX)05j#xv#Od)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0 zBquw?sZMjcGo0xxXFJEa&U3yCT<9VfyTqj~bGa*A=_*&d# zJKX6mce}^E?sLBfJm?`0d&Hw2^SCEG>0kcsDNp;4|9Zx=p7XpHyyzt_d&R3>^SU>@ z=`C-2$GhJ1zW@2ahd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cx z^92~hpavSu;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv5|f(D z86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_M zaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0t6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6?H>2K z&;1_opocu{k^dQn2Ou#F003a$er?;fZQHhO+qUfvwQa1nZQJ&eyLiBZ9`dk9JnAuz zd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@Z7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`Zlnk zjcjZao7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icLAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Uswi(Kqq{_Q{h>k^l`%;m0d zrK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hUo=K&9T$ip7-sK-3+2~T>;)1L9H z=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w3t#%m*S_(s?|knEKl;hf ze(|f{{O%8b`pe(`2?#6@U=V{EXfT5t!jOhCv|$WuIKvykh(Q%yW7K__OiEq>}x;!JHUYsaM(~p!jX=0v|}9W zILAA|iB58|Q=IBFr#r)$&T_VMoa;R2yTFAmaNdB#!=3JOw|m^{KKJ{d2R!H@4|~L;9`m>-Jn1P#jk$zyFdKt zFMsM0~^}N#x}93&1`N9TiVLjwy~}4 zY;OlU+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gS zajMgt?hI!-%h}FxuJfGl0vEc-#s1~r{^P$cajDB(?h04B%GIuMt?OLx1~=BQ8%;TQ$q^CUX8P9so^Iq_xm%Qv1uX@ev-teZk zyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2{OzBBz(N5A zF{ptCGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~#xuSNOlTq#o5Z9hGr1{D zX)05j#xv#Od)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xx zXFJEa&U3yCT<9Vf`*Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG> z$Gz@zzyEo_gC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5O# zhd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cx3kMj)pavSu;D#`y zp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv5|f(D86Pw!1=C-h}(gi+Rg6vu&2H3 zZ6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJ zp^IGXU;gbs{_7H#y3FOSaHXqU?Hbp*&h>6^qnq697Pq?1?e1`=yWH&__qxyh{^tP? zddR~b@uwN%F~|ltmi!M1uuHZ%U)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_JH@n-zp7yf0ee7#L`#Zpa z4sx(V9O^KKJHnBUao~_d!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@Jd`M3Z0 zuS;C&GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu7Up9ehXArE`RqaO3P zCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7KrpBOm+3r#|z!FMR1MU;D~0Tx+RNVdv9JB??*Ion$iWVAsKXrY z2uC`~(T;Jf;~ehLT)!CDx4i8g?|RSsKJcNBeC!jS`poCP@TISO?Hk|v&i8)sqo4fj z7r*+=@BZ+ozx?f=fWTq_1~I6C1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDtEMptT zxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG z3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`c zY-uZ7+s3xGv%MYcXeT?{#jbXqnx-*>VEN45%xz2OG3tZ?T7yFlg`;Y&+#HB8Cxhq`hDp$M4wXSo$ z8{Ft7H@n5HZgaak-03cNyT`rmbHD$2z=Iz0utz-VF^_w~lb-UlXFTgU&wIg(Uh=Y6 zyy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J-}}Lje)6+l{OUKq`@^69 z^0$8i0*eP2#GnQm%;1JFq@fIL7{eOQ@J2AAk&J8Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68> z_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}n zr#j8)&Tyu)ob4RvI?wqoaG{G_>|g%vKmO|ym%7a5u5hKRT=w7W z&F$`Rr@P$k9{0M>{r=|x4|>SM9`UHhJnjikddkzD@vP@O?*%V<$;)2xs@J^k4R3nO z+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$N3 zgBoZsgB!w-hBCBa3~M;U8^MT1GO|&OYBZx8!EENeN- zTfvG}va(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6 zYB#&v!=CoCw|(quKl?ktfev!8LmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjfBCon_^(S`>N1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(# z-0ME~`=19q=phe##G@YbxFpxtmQ0k1uI&~%2u(e z)vRs}Yg)_N*0HYjtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y2Rquy&UUe@-Ry1;d)mw1 z_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q)12-MXFAK-&T+2uobLh` zy2!=;<=_6}zbNz>=mzi&FkLqrnkK99q)S2`#$iYk9_PCpZd(_ zzVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMbzp0R}Osfd(_UAq;6KLmS4hhBLeo zjA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9Q=7)LrZc@6%xESvo5ieV zGrKv=X)be{$GqkBOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SSv%5X)X)k-*$G-NnzXKfT zAO}0dp$>DnBOK`{M?1!`j&r;doaiJcJH@F^bGkE}=`3eE$GOgPz6)IFA{YCYfBTRB zy2Pa}bGa*A=_*&d#JKX6mce}^E?sLEYdBB4n@~}rd>M@Ud z!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j^07~R>NB7F!k51CwQqdu zJKy`kkACvAU;OGfzx%_V{_?kf0s>117{s6k8qDB^Fr=XjZ5YEE&hSPsqLGYj6r&o= z=*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5qnXTX7PFeo?B+11xy)@I z^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?M zY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc?)I>!z3got``XX`4sf7@9PAK>I?UmY zaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiXT2K&;9=A0S|h}!yfUd$2{%{PkPGJp7E^b zJnscBddbUP@v7In?hS8x%iG@ZuJ^p}10VXx$3F3?&wTC+U;4_|zVWT^eD4Q8`pM6J z@vGnb?hk+Z%isP92rLs|5Q7?MFoPSykcKj}VGL_H!yCbfMl!NdjA}Ha8^f5!GPZGy zYdqtdz=S3;u}Ms7GLxIal%_JZX-sQ6)0@GJW-_x`%xX5Xo5P&uGPilmYd-T^z=9UC zuth9tF^gNml9sZxWh`qs%Ui*UR^xvbJ@sYd!1Rz=k%mu}y4hGn?DO zmbS9BZER~h+uOm8cCxcw>}of=+rysrvbTNgYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^ z$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYyv48ou|M;&pIuF z!HsTmvs>KiHn+ROo$hkCd)(_j_xqm*Jm?`0d&Hw2^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xny&wGOCqMheuYU8pKm6%0 zfBPpOuxx-q3~Hdk3~mTR8p_ayF|6SXZv-P6$;d`As?m&Y3}YJ0*v2ug@r-W*6Pn1x zCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_`OI$t3tGs+7O|+sEN%%) zTFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI^{j6L8`{XmHnFMAY;Fr%+RE0pv90ZF zZwEWt$s;>!H@eBqZgH#I z-0lu{y35_}aj*N_?|&Zfpocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$*#G)3nxFsxUDN9?%vX-;F z6|86_D_g~?R%Xd@fj#HKd0xh-sID_h&fwzjjq9qec)JKM#s zcC))Z>}fB1+sD54v%doz=pY9>#GwvzxFa0tC`UWSv5s@R6P)NICp*QdPIJ05oaroQ zJIA@sbG{2)=pq;Umw)?@|GLDbE_1mnT>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@Z7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`ZlnkjcjZao7&9gwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr@icL zAN$(R{tj@UgB=dUu&FRi?rn8*w9OpXE`7Usw zi(Kqq{_Q{h>k^l`%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hUo=K&9T z$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w z3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?(qZU=V{EXfT5t!jOhCv|$WuIKvyk zh(Q%yW7K__OiEq>}x;!JHUYs zaM(~p!jX=0v|}9WILAA|iB58|Q=IBFr#r)$&T_VMoa;R2yTFAmaNdB#!=3JOw|m^{KKJ{d2R!H@4|~L;9`m>- zJn1P#jk$zyFdKtFMsM0~^}N z#x}93&1`N9TiVLjwy~}4Y;OlU+R4s#v8&zeZV!9f%ii{}ul?-r00%nA!47e#!yN7i zM>@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}FxuJfGl0vEc-#s1~r{^P$cajDB(?h04B z%GIuMt?OLx1~=BQ8%;TQ$q^CUX8P9so z^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3> zzxvJZ{_v;2{OzBBz)ArIF{ptCGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~ z#xuSNOlTq#o5Z9hGr1{DX)05j#xv#Od)vpp_OrhO9OxhiJH(+5bGRcM=_p4##<7lb zyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9Vf`*Fr7m;1D_rR+SG&fwu5-N` z+~_7ZyTz?;bGtj-=`MG>$Gz@zzyEo_gC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF z>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0 zw|@cxD+d_FpavSu;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv z5|f(D86Pw!1=C-h}(gi+Rg6vu&2H3Z6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jOPH>`=oa_{* zI?d_MaHg}I?HuPi&-pHJp^IGXU;gbs{_7H#y3FOSaHXqU?Hbp*&h>6^qnq697Pq?1 z?e1`=yWH&__qxyh{^tP?ddR~b@uwN%F~|ltmi!M1uuHZ%U)XJFHnOozY-%%`+rpN%vbAk&YdhQ9!H#yavt8_J zH@n-zp7yf0ee7#L`#Zpa4sx(V9O^KKJHnBUao~_d!HG_CvQwPuG^abmna*;y zbDZlu=exj#E^@Jd`M3Z0uS;C&GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj z>pu7Up9ehXArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7Krp zBOm+3r#|z!FMR1MU;D~0Tx+RNVd zv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehLT)!CDx4i8g?|RSsKJcNBeC!jS`poCP z@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWT@21~I6C1~a%J3~4As8^*APGrSRu zXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvC zyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oH zy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYcXeT?{#jbXqnx-*>VEN45%xz2OG3tZ?T7yFlg`;Y&+ z#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5HZgaak-03cNyT`rmbHD$2z=Iz0utz-VF^_w~ zlb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J z-}}Lje)6+l{OUKq`@^69^0$8i0;>lY#GnQm%;1JFq@fIL7{eOQ@J2AAk&J8Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4? zY!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD>}?=1`K%;Ao3 zq@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_>|g%vKmO|ym%7a5u5hKR zT=w7W&F$`Rr@P$k9{0M>{r=|x4|>SM9`UHhJnjikddkzD@vP@O z?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$EENeN-TfvG}va(gIYBj4{!Am2o7=*c zwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?ktfev!8LmcWbhdaWNj&ihP9P2p8 zJHd%gaNKZ2!g)VZjfBCon_^(S`>N1zR!j-OawQF4KI@i0w zjc#(YTioh4x4Xlg?sB(#-0ME~`=19q=phe##G@YbxFpxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYjtZxGw+Q`N>v8l~$ZVOx5%GS2At?g`Y z2Rquy&UUe@-Ry1;d)mw1_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q z)12-MXFAK-&T+2uobLh`y2!=;<=_6}zbNz>=mzi&FkLqrnkK9 z9q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMbzx0R}Os zfd(_UAq;6KLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9 zQ=7)LrZc@6%xESvo5ieVGrKv=X)be{$GqkBOBYqrZ%&=Eo^BkTieFAwzIt*>}V%D+r_SS zv%5X)X)k-*$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;doaiJcJH@F^bGkE}=`3eE z$GOgPz6)IFA{YCYfBTRBy2Pa}bGa*A=_*&d#JKX6mce}^E z?sLEYdBB4n@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j z^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s?CX7{s6k8qDB^Fr=Xj zZ5YEE&hSPsqLGYj6r&o==*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5 zqnXTX7PFeo?B+11xy)@I^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j! z>ejHPwXAI&>srtHHn5?MY-|&o+RWy*u%)eRZ5!L#&h~b&qn+$*7rWZc?)I>!z3got z``XX`4sf7@9PAK>I?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiX zT2K&;9=A0S|h} z!yfUd$2{%{PkPGJp7E^bJnscBddbUP@v7In?hS8x%iG@ZuJ^p}10VXx$3F3?&wTC+ zU;4_|zVWT^eD4Q8`pM6J@vGnb?hk+Z%isP92&@xe5Q7?MFoPSykcKj}VGL_H!yCbf zMl!NdjA}Ha8^f5!GPZGyYdqtdz=S3;u}Ms7GLxIal%_JZX-sQ6)0@GJW-_x`%xX5X zo5P&uGPilmYd-T^z=9UCuth9tF^gNml9sZxWh`qs%Ui*UR^xvbJ@s zYd!1Rz=k%mu}y4hGn?DOmbS9BZER~h+uOm8cCxcw>}of=+rysrvbTNgYd`xtz<~~O zutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYyv48ou|M;&< zTpIuF!HsTmvs>KiHn+ROo$hkCd)(_j_xqm*Jm?`0d&Hw2^SCEG z=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xn zy&wGOCqMheuYU8pKm6%0fBPpOux@}s3~Hdk3~mTR8p_ayF|6SXZv-P6$;d`As?m&Y z3}YJ0*v2ug@r-W*6Pn1xCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_ z`OI$t3tGs+7O|+sEN%%)TFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI^{j6L8`{Xm zHnFMAY;Fr%+RE0pv90ZFZwEWt$s;>!H@eBqZgH#I-0lu{y35_}aj*N_?|&Zfpocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$* z#G)3nxFsxUDN9?%vX-;F6|86_D_g~?R%Xd@fj#HKd0xh-sI zD_h&fwzjjq9qec)JKM#scC))Z>}fB1+sD54v%doz=pY9>#GwvzxFa0tC`UWSv5s@R z6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq;UKf~|) zVGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm# zW;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y= zSkqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7 zXMYDc&_ND%h(jIba7Q@OQU2xMj&_Xy_^)Ff=XfVL(Me8ric_8DbZ0ozS+4J zc+_Ja_k<@sZ+`cOKmFxz{{#d!2r!624K$d+4Pi(_8QL(0HJss%U_>Js z*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_ zPIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgO>0@(I@YzG z^=)878`;<kcU0uQIC1t6Q1;x zr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&AT ze)N-{{o+@@`Q0D>^q0T=6A;)iz#s-S&|n5Pgdq)OXu}xRaE3R65shSIqZrj_MmL5r zjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#f zw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy z)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pccYp&O|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9 z(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcb zcYpZPU;g$_KwzT)gBa96gBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv z1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8g)L%H zi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4 zZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S{nsHLi7?>)qf+ zH@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&S zz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AM zfsF$UVo(DOW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11 zW^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?C zPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(oz29-;Q>S|M;(C9p`u_IMGQ? zc8XJ-=5%K`(^<}Tj&q&of6jM-3ti-5m$=krE_a11UFB-mxYl*9cY_<<_Z7c-C{C_ktI_T{ zrZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnf zS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1T zVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBNBNh3JK8b+Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;O zx!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$_G{7JRHPB!NH-sS#WoW|~ z)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_a zX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih z_IH2-9pqq#IMiVdcZ4Gy6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{s zM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5j zzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe5R^0D~CRK!X|F5Qa3Ap$%hL!x`QPMl_O< zjbc=z8QmDhG?uZAV_f4I-vlN!k%>)WQj?k76s9zlsZC>A)0y53W;BzT&0sZG*-U&{0l9Qd{RHr%J8P0T;vz_By=lP%WUEo3&x!5Hx zb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+< z+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkN zM?d-5FMjo#-~HiFfBD-#0fEf}3}R3N4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFP zSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j z3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&8WjQ{wrV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=YP(3feT&aVwbqoWiEGxD_!Mk z*SOYou6KhQ-Q;GsxYccLcZWOOiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9S zn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96 zHnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#en8O|6NJsgXe>>VS{^P%n zb)4g!;6x`m*(pwSn$w-(OlLXUInH&S|2f|UE_9KLUE)%gx!e`5bd{@J<676b-VJVa zlbhY*R=2s`9qx3OyWQhn_qpE#9`ulhJ>pT1dE678^pvMP<5|yn-V0vzl9#>WRj+y7 z8{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s z*fPK%1~t%N1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+ zWM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pzvC?P$mNkN-N>agKL_6P@H_ zr#RJVPIrbgo#kxjIM;dp=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8 zxYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf* zTGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj| zm91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y z_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+lz;iRqaEWv{_9xBIo=6Qbdr;u;#8+O-5Jhw zmb0DXT<7_p^IhOV7rEFaE_Ip9UExYsx!N_Zb)D&tPItN6J??d% z`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklP zKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLTQ0}NtN0}WZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pT zHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>= z(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZD ze+M|wK@N6^LmlRDM>x_^{^j3}c8ve{uVWqOcqcf~Nltc(Q=R5?XE@VY&UTJ-o#%hf zcYzCCO zYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O&DTFo;17G?>8+VMs$6+AxMSoZ*dNL?ap5 zC`L7!(T!nDV;S2x#x-R)sdd)eDQ_O+k=9pFF*IoKf% zb(q5);YdgMmw!9jG5+Jfj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p8q-D1uk@vi(TSU zm$}>(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNb zJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH z^pl_c;#a@<-5>t+m%sfJ5ZE@rAO!; zfCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2 zW;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>m z{_SYT_>ccO)^Uz^f)kzOWT!aQX-;>BGo9sZ=Q!7S{^xubxX?u|c8N<}=5klK(p9c@ zjcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta; zOJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcsj9hHnfqAZDLcK+1wVkw3V%G zV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``O)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhan za#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%i zWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``q zcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQU2xMj&_Xy_^)Ff=XfVL(Me8r zic_8DbZ0ozS+4Jc+_Ja_k<@sZ+`cOKmFxz{{#ef2r!624K$d+ z4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_ zHJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8AA7PXkgEn!JZS=us|wVdUxU_~og z*(z4Gn$@jgO>0@(I@YzG^=)878`;<kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`i zQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A;)jz#s-S&|n5Pgdq)OXu}xR zaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7 zvzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*V ztz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pc zcYp&O|d)?=L4|vc+9`=Yw zJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt z_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_Kwzf;gBa96gBjcqhBTC+4P#it8Qus+G?I~x zVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmL zm$}VjUh|pX0v5E8g)L%Hi&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S=kmbI;8UF%uj z1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s& zGoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFM zKl#}&e)XH*{ozl4`P)AMft>>kVo(DOW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv| z#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz` zW^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(oz29 z-;Q>S|M;(C9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&of6jM-3ti-5m$=krE_a11UFB-m zxYl*9cY_<<_Z7c-C{C_ktI_ zT{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon z#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+ zwzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBNBNh3JK8b+Bn+!d~Lm8)IjTGzSW4Q_Ok zo8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$_ zHNYSSHPB!NH-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@ zH-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T; zXS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4Gy6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u z(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1 zeINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe5R|0D~CRK!X|F z5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A z)0y53W;BzT&0sZG*-U&{0l9Qd{RHr%J8P0T; zvz_By=lP%WUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0 zJ>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u z^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fF5E3}R3N4Q6md7}8LNHjH5n zXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DG zi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&8WjQ{wrV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=YP(3 zfeT&aVwbqoWiEGxD_!Mk*SOYou6KhQ-Q;GsxYccLcZWOOiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ zncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5D zU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#e zn8O|6NJsgXe>>VS{^P%nb)4g!;6x`m*(pwSn$w-(OlLXUInH&S|2f|UE_9KLUE)%g zx!e`5bd{@J<676b-VJValbhY*R=2s`9qx3OyWQhn_qpE#9`ulhJ>pT1dE678^pvMP z<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8C zlb`+KSHJn)AO7^0zx@*s*fYQ&1~t%N1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0 zY~vW$c*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pzvC z?P$mNkN-N>agKL_6P@H_r#RJVPIrbgo#kxjIM;dp=X@8q&_ynGiA!DPa#y(0Rjzi8 zYhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQ zUiOMtz2)Ift7+z^H|l%Wk{Si>3K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZ zG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2*}@Vo{4( z+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9m91@K zTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+lz;iRqaEWv{_9xB zIo=6Qbdr;u;#8+O-5Jhwmb0DXT<7_p^IhOV7rEFaE_Ip9UExYsx!N_Zb)D&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMI zO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLTg z0}NtN0}WZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ## zEoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQ zwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^{^j3}c8ve{uVWqOcqcf~Nltc( zQ=R5?XE@VY&UTJ-o#%hfcYzCCOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O)a8Fo;17G?>8+ zVMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x-R)sd zd)eDQ_O+k=9pFF*IoKf%b(q5);YdgMmw!9jG5+Jfj&+>lo!~?#IoT;rb(+(i;Y?>a z+d0m4p8q-D1uk@vi(TSUm$}>(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1* z10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31( zpZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5ZE`sAO!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&X zWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQ zfCC-mV23!=VGehMBOT>m{_SYT_>ccO)^Uz^f)kzOWT!aQX-;>BGo9sZ=Q!7S{^xub zxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4 z=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFr zjcsj9h zHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``O=1`K%;Ao3q@x_|7{@x! z@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_>=Ku{%;m0drK?=+8rQnc^=@#Zo80Uc zx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX8P9so^Iq_xm%Qv1uX@ev-teZk zyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3>zxvJZ{_v;2{OzBBz+M3c zF{ptCGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~#xuSNOlTq#o5Z9hGr1{D zX)05j#xv#}V%D+r_SSv%5X)>3{aJw|(quKl?ktfev!8LmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1u5z_&TNdB#!=3JO zw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5O# zhd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0w|@cxdj}ZApavSu;D#`y zp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv5|f(D86Px;%f7{IF{^P&4u%)eRZ5!L#&h~b&qn+$*7rWZc z?)I>!|Jlpl_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q-1SdMl$xd;q)12-MXFAK- z&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%U)XJFHnOozZ0cYBZ8MwukN?`jmbS9BZER~h+uOm8cCxcw>}of=+ryszXD@r( z$G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;doaiJcJH@F^bGkE}=`3eE$GOgPz6)IF zA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG>$Gz@zzXv?%ArE`RqaO3P zCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7KrpBOm+3r#|z!FMR1MU;DI?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-tJnRvVdd%aV@T8|a?HSK{ z&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP@TISO?Hk|v&i8)sqo4fj z7r*+=@BZ+ozx?f=fWUqM1~I6C1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDtEMptT zxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG z3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RD{^j2` zv$_BHuPtn8D_h&fwzjjq9qec)JKM#scC))Z?CF2@vbTNgYd`xtz<~~OutOZ`Fo!$B zk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYyu}fU)GMBr;m9BENYh3F( z*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0utz-VF^_w~lb-UlXFTgU&wIg(Uh=Y6 zyy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J-}}Lje)6+l{OUKq`@^69 z^0$8i0{aIT#GnQm%;1JFq@fIL7{eOQ@J2AAk&J8Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4?Y!jRMmw(&L=KkZqwy>qG zY;7Cc+RpZNu%n&qY!|!Q&F=QFr~lc@-uAJt{p{}m2Rg{X4soc%9PS85I?BLTSM9`UHhJnjikddkzD@vP@O?*%V<$;)2xs@J^k4R3nO z+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$EENeN- zTfvG}va(gIYBj4{!F94{%tdx`;Y(H!j`tOwQX!`JKNjA zj&`!MUF>Q%yW7K_{%0?H+sD54v%doz=pY9>#GwvzxFa0tC`UWSv5s@R6P)NICp*Qd zPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`hDp$M4wXSo$8{Ft7H@n5HZgaak-03cN zyT`rmbH4{X=phe##G@YbxFpxtmQ0k1uI&~%2u(e z)vRs}Yg)_N*0HYjtZxGw+Q`N>v8jLgx6N$sKmKbATiVLjwy~}4Y;OlU+R4s#v8&ze zZV!9=dUu&FRi?rn8*w z9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W&F$`Rr@P$k9{0M>{T}e3 zhdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK99q)S2`#$iYk9_PCpZd(_ zzVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMbzY0R}Osfd(_UAq;6KLmS4hhBLeo zjA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9Q=7)LrZc@6%xESvo5ieV zGrKv=X)be{$GqkBOBYqrvByMHnX|^_^&N&X)9aX#}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58|Q=IBFr#r)$&T_VMoa;R2yTFAm zaN1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(#-0ME~d%%Mp@~}rd>M@Ud z!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j^07~R>NB7F!k51CwQqdu zJKy`kkACvAU;OGfzx%_V{_?kf0s;pI7{s6k8qDB^Fr=XjZ5YEE&hSPsqLGYj6r&o= z=*BRnv5ajT;~LNSCNQCiOl%U9n#|;;Fr}$XZ5q>>&h%z5qnXTX7PFeo?B+11xy)@I z^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j!>ejHPwXAI&>srtHHn5?M zY-|&o`j>y(%;x^%zqYWYt!!-@+uF|dcCe$J>}(gi+Rg6vu&4jo%ii{}ul?-r00%nA z!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}FxuJfGl0vEc-#V&EF%UtdX zSGvm8u5qpFT<-=qy2;IMajV^xvbJ@sYd!1Rz=k%mu}y61U;b?~ zoBNOd+QOE$vbAk&YdhQ9!H#yavt8_JH@n-zp8jVqd)vpp_OrhO9OxhiJH(+5bGRcM z=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9VfyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xny&wGOCqMheuYU8pKm6%0 zfBPpOaA<%*3~Hdk3~mTR8p_ayF|6SXZv-P6$;d`As?m&Y3}YJ0*v2ug@r-W*6Pn1x zCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_`OI$t3tGs+7O|+sEN%%) zTFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI^{j6L8`{XmHnFLH`M1q%?mzx(3tQUC z*0!;&?QCxcJKD+4cCoA7>~0Tx`k%e*Z6EvE&;AZ@po1Lj5QjR<;f`>mqa5uR$2!jO zPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0t6c3G*SgO2Zg8WU-0T*& zy3OtGaHqT6?H>2K&;1_opocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$*#G)3nxFsxUDN9?%vX-;F z6|86_D_g~?R%Xd@fj#HRk`-!`+k|M;&hY-uZ7+s3xGv%MYc zXeT?{#jbXo~_d!HG_CvQwPu zG^abmna*;ybDZlu=exj#E^@I;TpIuF!HsTmvs>KiHn+ROo$hkC zd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@Z7|9OD|#_$Dx+iA-z~lbX!rrZA$rnRhX9qU@p`ZlnkjcjZaoBEf3+sx+v_I9wNo$PEEyV}j} z_OPe_*~{Mcv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{y35_}aj*N_?*R{b z$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0?*kwD$j3hMsn2}w z3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?!h!U=V{EXfT5t!jOhCv|$WuIKvyk zh(RB)+rf@@va?<6YB#&v!=Cqnx-*>VEN45%xz2OG3tZ?T z7rVrzE_1mnT- zJn1P#jk$zyFdKtFMsM0~^}N z#x}93fBCn~Z0}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqoaG{G_>=Ku{%;m0d zrK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8%;TQ$q^CUX8P9so z^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL8{hiQ_kQrBpZx3> zzxvJZ{_v;2{OzBBz)=APF{ptCGq@oPX(&S*#;}Gnyb+9OBqJNes75ooF^p*}V;je~ z#xuSNOlTq#o5Z9hGr1{DX)05j#xv#}V%D+r_SSv%5X)>3{aJw|(quKl?ktfev!8LmcWbhdaWN zj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Qm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3JnK2nd%=rd^0HUF z>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX^0Qz3>Nmgp!=L{0 zw|@cxM+X?hpavSu;D#`yp$u&p!y3-;Mlhn0jBFI68qMg&Fs8ALZ5-no&-f-Vp@~dv z5|f(D86Px;%f7{IF{^P&4u%)eR zZ5!L#&h~b&qn+$*7rWZc?)I>!|Jlpl_OY-1?C$^vI>^Beaj3%_?g&RZ%F&K-tm7Q- z1SdMl$xd;q)12-MXFAK-&T+2uobLh`y2!;YajDB(?h04B%GIuMt?OLx1~wN%F~|ltmi!M1uuHZ%U)XJFHnOozZ0cYBZ8MwukN?`jmbS9BZER~h+uOm8 zcCxcw>}of=+ryszXD@r($G-NnzXKfTAO}0dp$>DnBOK`{M?1!`j&r;doaiJcJH@F^ zbGkE}=`3eE$GOgPz6)IFA{V>Fr7m;1D_rR+SG&fwu5-N`+~_7ZyTz?;bGtj-=`MG> z$Gz@zzXv?%ArE`RqaO3PCp_sXPkY9*p7XpHyyzt_d&R3>^SU>@=`C-2$GhJ1z7Krp zBOm+3r#|z!FMR1MU;DI?UmYaHOLg?HI>8&hbugqLZBL6sJ1P>CSMbvz+Z5 z=Q_{%E^wiXT6^qnq697Pq?1?e1`=yWH&__qxyh9`K-t zJnRvVdd%aV@T8|a?HSK{&huXIqL;kv6|Z{D>)!CDx4i8g?|RSsKJcNBeC!jS`poCP z@TISO?Hk|v&i8)sqo4fj7r*+=@BZ+ozx?f=fWUD91~I6C1~a%J3~4As8^*APGrSRu zXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Pv`OCNsGyOlc}po5r-JGrbwiXeKk8#jIvC zyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlATgI}Mv%D3oXeBFK#i~}bx;3n6Eo)oH zy4JJ44Qyy58{5RD{^j2`v$_BHuPtn8D_h&fwzjjq9qec)JKM#scC))Z?CF2@vbTNg zYd`xtz<~~OutOZ`Fo!$Bk&be-V;t)^$2-A^PI9tSoa!{EJHwgIa<+4v>pbVXz=bYy zu}fU)GMBr;m9BENYh3F(*So=uZgR6*-0C*ByThICa<_Zj>pu5;z=Iz0utz-VF^_w~ zlb-UlXFTgU&wIg(Uh=Y6yy`Wtd&8UF^0s%p>pk!Lz=uBau}^&JGoSmym%j3~Z+z=J z-}}Lje)6+l{OUKq`@^69^0$8i0>=j!#GnQm%;1JFq@fIL7{eOQ@J2AAk&J8Z~-m8@(Pt6I(K*083vtZg0ZTF?46u%V4? zY!jRMmw(&L=KkZqwy>qGY;7Cc+RpZNu%n&qY!|!Q&F=QFr~lc@-uAJt{p{}m2Rg{X z4soc%9PS85I?BLTSM9`UHhJnjikddkzD@vP@O z?*%V<$;)2xs@J^k4R3nO+urf6_q^`|ANt70KJlr~eC`Wh`pVb7@vZNC?*~8n$EENeN-TfvG}va(gIYBj4{!F94{%tdx z`;Y(H!j`tOwQX!`JKNjAj&`!MUF>Q%yW7K_{%0?H+sD54v%doz=pY9>#GwvzxFa0t zC`UWSv5s@R6P)NICp*QdPIJ05oaroQJIA@sbG{2)=pq-p#HB8Cxhq`hDp$M4wXSo$ z8{Ft7H@n5HZgaak-03cNyT`rmbH4{X=phe##G@YbxFpxtmQ0k1uI&~%2u(e)vRs}Yg)_N*0HYjtZxGw+Q`N>v8jLgx6N$sKmKbATiVLj zwy~}4Y;OlU+R4s#v8&zeZV!9=dUu&FRi?rn8*w9OpXE`7Uswi(Kpym%7a5u5hKRT=w7W z&F$`Rr@P$k9{0M>{T}e3hdk^Nk9y4Gp75lnJnb3Jdd~A+@S>Nz>=mzi&FkLqrnkK9 z9q)S2`#$iYk9_PCpZd(_zVM~5eC-?G`p)-$@S~sn>=(cK&F}v3r@#E|pMbzg0R}Os zfd(_UAq;6KLmS4hhBLeojA$ey8^x$bGrBR1X)I$K$GFBbz6nfdA`_d$q$V@DDNJc9 zQ=7)LrZc@6%xESvo5ieVGrKv=X)be{$GqkBOBYqrvByMHnX|^_^&N&X)9aX#}x;!JHUYsaM(~p!jX=0v|}9WILAA|iB58|Q=IBF zr#r)$&T_VMoa;R2yTFAmaN1zR!j-OawQF4KI@i0wjc#(YTioh4x4Xlg?sB(# z-0ME~d%%Mp@~}rd>M@Ud!jqozv}Zi)InR5+i(c}wSG?*quY1Fr-txA0yz4#h`@n}j z^07~R>NB7F!k51CwQqduJKy`kkACvAU;OGfzx%_V{_?kf0s>&h%z5 zqnXTX7PFeo?B+11xy)@I^P1267Ou%x9dZ5hj2&hl2UqLr*{6{}j! z>ejHPwXAI&>srtHHn5?MY-|&o`j>y(%;x^%zqYWYt!!-@+uF|dcCe$J>}(gi+Rg6v zu&4jo%ii{}ul?-r00%nA!47e#!yN7iM>@*Uj&ZEx9Pb1tI?2gSajMgt?hI!-%h}Fx zuJfGl0vEc-#V&EF%UtdXSGvm8u5qpFT<-=qy2;IMajV^xvbJ@s zYd!1Rz=k%mu}y61U;b?~oBNOd+QOE$vbAk&YdhQ9!H#yavt8_JH@n-zp8jVqd)vpp z_OrhO9OxhiJH(+5bGRcM=_p4##<7lbyc3-0Bquw?sZMjcGo0xxXFJEa&U3yCT<9Vf zyTqj~bGa*A=_*&d#JKX6mce}^E?sLBfJm?`0d&Hw2^SCEG z=_yZp#eCQ(|`^2X{^SLj4=__CR#<#xn zy&wGOCqMheuYU8pKm6%0fBPpOaB6@-3~Hdk3~mTR8p_ayF|6SXZv-P6$;d`As?m&Y z3}YJ0*v2ug@r-W*6Pn1xCNZhWOl}HOn#$CsF|FxLZw51($;@UktJ%zM4s)8z+~zT_ z`OI$t3tGs+7O|+sEN%%)TFTOvv8?4RZv`t_$;wu-s@1G+4QpD<+SakI^{j6L8`{Xm zHnFLH`M1q%?mzx(3tQUC*0!;&?QCxcJKD+4cCoA7>~0Tx`k%e*Z6EvE&;AZ@po1Lj z5QjR<;f`>mqa5uR$2!jOPH>`=oa_{*I?d_MaHg}I?HuPi&-pHJp^IGX5|_Hn<*sn0 zt6c3G*SgO2Zg8WU-0T*&y3OtGaHqT6?H>2K&;1_opocu{5s!MzV%W;44v%xNxjo5#H7Grt8aXdw$* z#G)3nxFsxUDN9?%vX-;F6|86_D_g~?R%Xd@fj#HRk`-!`+k z|M;&hY-uZ7+s3xGv%MYcXeT?{#jbXo~_d!HG_CvQwPuG^abmna*;ybDZlu=exj#E^@I;TpIuF z!HsTmvs>KiHn+ROo$hkCd)(_j_j|yD9`dk9JnAuzd%}~R^0a3>>p9PR!HZtpS23!H<6OvtRt`H^2MCpZ@Z< ze*yxh2N=Yl1{%!ZhA^a|3~d<08qV-WFrtx+Y!ss!&FIE3rm>7|9OD|#_$Dx+iA-z~ zlbX!rrZA$rnRhX9qU@p`ZlnkjcjZaoBEf3+sx+v_I9wNo$PEEyV}j}_OPe_*~{Mcv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~eh< zCpyW=PI0Q!obC)~I?LJ4ajx^6?*bRP$i*&ksmomM3Rk+y)vj@^>s;>!H@eBqZgH#I z-0lu{y35_}aj*N_?*R{b$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71 z@vis0?*kwD$j3hMsn2}w3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`2?(4KU=V{E zXfT5t!jOhCv|$WuIKvykh(RB)+rf@@ zva?<6YB#&v!=Cqn zx-*>VEN45%xz2OG3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKtFMsM0~^}N{~3k{Xdw&$0D!-Kwr$&XTeh)J9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT} ze)oqz{pD}}1O(0sFo;17G?>8+VMs$6+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x(u5^{FUE^BUx!w(K zbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC z-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ z5I8%)AO!;fCVjNVgK@Pi&)fR7Po{Y zEoEuTSk`iuw}KU|WM!*Z)oNC^hBf`if30P0>sZ(StY>{2*w98cwuwz`W^-HE(pI*% zjcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~ zNltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb% zcevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}n zz2|)&_|Qi__K8n@=5t^8(pSFrjczTiMz+wzZw@?O;bc z+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i z;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}e zpZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&Cr zCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;a{~-wPy-ESa6=f) zVGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm# zW;Tmi&1QCUnA2S5HjjDDXMPJ<&_WjWFaNfPMJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7) zW_4>=(|`QeTGqCXb^XtJ*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#ha zJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S z&Ub+eUF2eyxYT7XcZDlm+4J zc+_Ja_k<@sZ+`cOKmFxz{{#fi3owX54K$d+4Pi(_8QL(0HJss%U_>Js z*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0rU`8{U*(_!?o7v4_ zPIH;tJmxi@`7K~U3t8B|{M#ZHwV1^%VM$9_+A@~4oaL=xMJrj^Dps|c)vaMo|M6dI zS=&0+^*`%b-v&0ck&SI)Q=8e`7PhpNt!-ml+u7a@cC?e7?P6EE+1(!Yw3of@V_*B( z-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu!o#IrdIo%n~be6N7<6P%C-vusok&9j8 zQkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^beFr`<6if<-vb`>kcU0uQIC1t6Q1;x zr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&AT ze)N-{{o+@@`Q0D>^q0T=6A(B*z#s-S&|n5Pgdq)OXu}xRaE3R65shSIqZrj_MmL5r zjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#f zw}1sLWMTjEZ;M#eVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v(S$A7J5ZR=Rq|Ey|d)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9 z(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcb zcYpZPU;g$_K;VJ^gBa96gBjcqhBTC+4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv z1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmLm$}VjUh|pX0v5E8h5gIF zEn-oNS={nsHLi7?>)qf+ zH@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&S zz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AM zfeQl+Vo(DOW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11 zW^z-Q(p084jcHA1dNY{OOlCHVS&t!8y=Skr&}*IL%Lj&=Rdde*mr4Q*s&o7mK5Hn)W>ZDniQ z*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0 z_Z7c-C{C_ktI_T{ zrZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fzx>-G7PXkgEn!JZS=us|wVdUx zU_~og*(z4Gn$@jgP5<#FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJY zo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj z&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;O zx!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$lIKUtVHPB!NH-sS#WoW|~ z)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_a zX0w>pY-TryIn8Bm^O)Cs=C^Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo z(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&o zd>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{s zM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5j zzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9<30D~CRK!X|F5Qa3Ap$%hL!x`QPMl_O< zjbc=z8QmDhG?uZAV_f4I-vlN!k%>)WQj?k76s9zlsZC>A)0y53W;BzT&0i&@+fmb8?mEn`{BS>6g(w33yrVpXeI-5S>PAOE$M zwXI`a|FfR;ZD2zi+1MsFwVBOrVM|-t+BUYeo$c*lM?2ZsE_St>-R)sdd)eDQ_O+k= z9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hx zb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+< z+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkN zM?d-5FMjo#-~HiFfBD-#0f9>c3}R3N4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFP zSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j z3s}%X7WOaywunV7W^qec(o&YTjAbonc`I1aN>;XtRjp=qYgp5N{MTC6wvKiE&wAFk zfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!= zVGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^aiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*uVVS zA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNL(>Uu#+0I@a|+>sj9hHnfqAZDLcK z+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y7 z8{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s zxIDlh1~t%N1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFfh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf* zTGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2+1U%fBsRQHxpJ5|*@-r7dGw%URwE zR&tPItN6J??d% z`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklP zKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLR&0}NtN0}WZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pT zHj7!!W_EL!(_H2@k9o~!ehXO8LKgNf|F(!lEoN~`Skh9Kwv1&hXL&1F(MndfidC&< zb!%ADfBe^4*0zpy{m**Vw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK; z?PYKK*w=pccYp&OO zYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O%=MFo;17G?>8+VMs$6+AxMSoZ*dNL?ap5 zC`L7!(T!nDV;S2x#x(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNb zJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH z^pl_c;#a@<-5>t+m%sfJ5V$(PAO!; zfCVjNVgK@Pi&)fR7Po{YEoEuTSk`iuw}KU|WM!*Z)oNC^hBf`if30P0>sZ(StY>{2 z*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA z=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@ zjcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta; zOJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjczTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Ot zj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$y zx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc z;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz; zYXb~oPy-ESa6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhan za#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_WjWFaNfPMJ;A=OIXrU zmbQ##EoXTvSkX#Wwu)7)W_4>=(|`QeTGqCXb^XtJ*0+HTZDeDc*wkh=w}mZjWoz5m z)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#fC3owX54K$d+ z4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_ zHJ$0rU`8{U*(_!?o7v4_PIH;tJmxi@`7K~U3t8B|{M#ZHwV1^%VM$9_+A@~4oaL=x zMJrj^Dps|c)vaMo|M6dIS=&0+^*`%b-v&0ck&SI)Q=8e`7PhpNt!-ml+u7a@cC?e7 z?P6EE+1(!Yw3of@V_*B(-vJJEkb@oKP=`6(5sq|}qaEW|$2r~!PIQu!o#IrdIo%n~ zbe6N7<6P%C-vusok&9j8QkS{h6|QuZt6k$-*SX#eZgi8I-Qrfax!oP^beFr`<6if< z-vb`>kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`i zQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A-vQz#s-S&|n5Pgdq)OXu}xR zaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7 zvzXOvW;cg9&1G)$nAd#fw}1sLWMTjEZ;M#eVivcAB`sxX%UITOmbZcxtz>1ZSk-D) zw}v(S$A7J5ZR=Rq|Ey|d)?=L4|vc+9`=Yw zJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt z_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;VV|gBa96gBjcqhBTC+4P#it8Qus+G?I~x zVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$G?SUlVpg-6-5lmL zm$}VjUh|pX0v5E8h5gIFEn-oNS={nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s& zGoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFM zKl#}&e)XH*{ozl4`P)AMfg1x1Vo(DOW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv| z#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS&t!8y=Skr&}*IL%Lj&=Rdde*mr z4Q*s&o7mK5Hn)W>ZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(> z4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_ zT{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fzx>-G z7PXkgEn!JZS=us|wVdUxU_~og*(z4Gn$@jgP5<#FaWw2_T%VpE&h z+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNv zSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Ok zo8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy$l zIlv$WHPB!NH-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@ zH-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^Jg#TiDW8wziFJ zZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ? zc8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u z(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1 zeINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9<70D~CRK!X|F z5Qa3Ap$%hL!x`QPMl_O)WQj?k76s9zlsZC>A z)0y53W;BzT&0i&@+fmb8?mEn`{BS>6g( zw33yrVpXeI-5S>PAOE$MwXI`a|FfR;ZD2zi+1MsFwVBOrVM|-t+BUYeo$c*lM?2Zs zE_St>-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc= zXF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0 zJ>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u z^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fAcs3}R3N4Q6md7}8LNHjH5n zXLutR(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DG zi&@QPc5|51T;?{9dCg~j3s}%X7WOaywunV7W^qec(o&YTjAbonc`I1aN>;XtRjp=q zYgp5N{MTC6wvKiE&wAFkfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CL zWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^aiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ zncF<(HJ|w{U_lF6*uVVSA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNL(>Uu#+0 zI@a|+>sj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP z<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8C zlb`+KSHJn)AO7^0zx@*sxIMri1~t%N1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0 zY~vW$c*Zw@2~A{TlbFfh(|rQ zUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZ zG?9r-Vp5Zt+!UrXm8nf*TGN@{3}!TwnayHWvzgr-<}{bN&0}8knco5yw2+1U%fBsR zQHxpJ5|*@-r7dGw%URwER&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMI zO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLR| z0}NtN0}WZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LjN-i4?toV006+g{o1ze zZZ)^nwr$(CZQHhO+qP|M$z9B6ehXO8LKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7) zW_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$r zkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RT zMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0o zPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8 zedl{W_|Z>(_KRQr=68Si(_jAfPe9)WQj?k76s9zlsZC>A)0y53X7n%rHj|mnVpg-6-5lmL zm$}VjUjOl5|1+QYEnq-R)sdd)eDQ_O+k=9pFF* zIoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau z;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@ zoaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5 zFMjo#-~HiFfBD-#0fD;%3}R3N4Q6md7}8LNHjH5nXLutR(MU!%icyVbbYmFPSjIMv zagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(ZBrLOlCHVS$fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeB zW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehM zBOT>v$2it;j(36+o#bSvIMr!RcZM^aiEIG^RD3>CIq9|MG7$nb|C6HJjPZVNP?I+dSs=AOH0~^O@fQ7POFs zEn-oNS=sj9hHnfqAZDLcK+1wVk zw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJm zx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*sxHrHc z1~t%N1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$c*Zw@2~A{TlbFpY-TryIn8Bm^O)Cv{MY}?XMPJ<&_Wirh(#@CaZ6az zQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_J zJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@ zo#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$k zc8`1A=Y9`(&_f>fh(|rQUiOMtz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r-Vp5Zt+!UrXm8nf*TGN@{ z3}*B%|2C7E&00@(I@YzG^=)878`;<&tPItN6J??d%`#s=6 z4|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T; zec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLUJ0}NtN0}WZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9;xd+e~IQ zi&@QPc5|51T;?{9dHu(K{m*>nw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@) zR=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK z*w=pccYp&OOYv1_R zcfR+7AN}NKzxdT}e)oqz{pD}}1Oy%kFo;17G?>8+VMs$6+AxMSoZ*dNL?ap5C`L7! z(T!nDV;S2x#x6g(w33yrVpXeI-5S=kmbI;8 zUF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S( zu5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx= zdEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c z;#a@<-5>t+m%sfJ5O^@aAO;XtRjp=qYgp4-*0zpyt!I53*w98c zwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i z(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-! zdN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4Sh zSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcz zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>l zo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Eml zb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO z+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;hXV{^ zPy-ESa6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(PXM7Ww&_pISiAhana#NVn zRHinKX-#K(Gnmo8{M$@sHj7!!W_EL!(_H2@k9qyafBnyV=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hH zgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#dc2{4F34K$d+4Pi(_ z8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~CN-JKO<_t?nc6g_HJ$0r zU`GG)Z!?+MEM_&E+09{2bD7&b=Jg-{^*{5O-vSo2kcBN`QHxpJ5|*@-r7dGw%URwE zR zkcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V z7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A*Ybz#s-S&|n5Pgdq)OXu}xRaE3R6 z5shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8U4$@&17b? znAL1%H-|aRWp4AB*MI!i|IBB83s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&< zb!%ADTGqCXb**Q88`#iBHnxdPZDw;@*wR+EwvBCVXL~!?(N1=@i(TzzcYD~=UiP++ zeeGv|2RP6{4t9t`9p-RHIMPv$c8p^k=XfVL(Me8ric_8DbZ0ozS|d)?=L4|vc+9`=YwJ?3#w zc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S z=X*c+(NBK%i(mcbcYpZPU;g$_K;W?egBa96gBjcqhBTC+4P#it8Qus+G?I~xVpO9U z-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$^e_K5lbOw8R{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF z=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}& ze)XH*{ozl4`P)AMfyV<3Vo(DOW^h9o(olvrjA0FDcq16mNJch_QH^GFV;Iv|#x{;| zjc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{Ozx>-wW;Tmi&1QCUnA2S5HjjDz$AA6L zeCD@+1ubM@i&)fR7Po{YEoEuTSk`iuw}KU|WM!*Z)oNC^hBd8aZR=Rqde*mr4Q*s& zo7mK5Hn)W>ZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj z9pz}pIM#8FcY+h0_Z7c-C{C_ktI_T{rZt`E&0t3V@^3Sl*(_!?o7v4_PIH;tJm&Qu|Mfrfnco5yw2*}@ zVo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5S>FaWw2_T%VpE&h+!nU9 zm91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu z2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978 zx4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy#)GQc1P zHPB!NH-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X?#y5cpO=Mz|nABt@H-#xp zWopxy)^w&fgBktHzs+Q3vzXOvW;cg9&1G)$nAd;&*Z<6CehXO8LKe1&MJ;A=OIXrU zmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs z*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ- z=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX% zk9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMH zM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9)WQj?k76s9zlsZC>A)0y53 zX7n%rHj|mnVpg-6-5lmLm$}VjUjOl5|1+QYEnq z-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB z&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqP zdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo z;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fDCj3}R3N4Q6md7}8LNHjH5nXLutR z(MU!%icyVbbYmFPSjIMvagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(ZBrLOlCHV zS$fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D) zw}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~ z*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^aiEIG^RD3>CIq9|MG7$nb|C6HJjPZVNP?I z+dSs=AOH0~^O@fQ7POFsEn-oNS=sj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn z-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+K zSHJn)AO7^0zx@*scs9Tw1~t%N1~-Hu4P|J<7}juxH-Zt3WMrcl)o4aHhB1w0Y~vW$ zc*Zw@2~A{TlbFpY-TryIn8Bm^O)Cv{MY}? zXMPJ<&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4 ziA`;0b6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@O zQI2+uV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAh zH@MMFZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMt zz23K2u3uLk&R+hqZ!>8#x$0(jbmKn8Q%mZG?9r- zVp5Zt+!UrXm8nf*TGN@{3}*B%|2C7E&00@(I@YzG^=)878`;<&tPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSI zJKpu4_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLT~0}NtN z0}WZHi}V=W^`j1(^$qfj&Y4=d=r?^L?$+gNlj*QQ<&0J zrZ$afO=o&Dn9;xd+e~IQi&@QPc5|51T;?{9dHu(K{m*>nw}1sLWMPX~)M6I5ge5Iy zY0FsFa+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J z9qnXiyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O#3PFo;17G?>8+VMs$6 z+AxMSoZ*dNL?ap5C`L7!(T!nDV;S2x#x6g( zw33yrVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_ z-5&O|m%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{ zhdts^k9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMu zzVwx^edAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5O^`bAO;XtRjp=q zYgp4-*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G z?Pq@nIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u| zc8N<}=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW z(o>%HjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjczTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4 zAr5tz!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70 zSGn3Xu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osP zz2HSJdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai z^_$=Q;ZJ}0+dlz;mjeu9Py-ESa6=f)VGU<^BN)+0MmCC3jb?OX7}HqBHjZ(P zXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmo8{M$@sHj7!!W_EL!(_H2@k9qyafBnyV z=C^sZ%%*0+HTZDeDc z*wkh=w}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4Gy zBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz z{{#eH2{4F34K$d+4Pi(_8QL(0HJss%U_>Js*(gRen$eA6Ok)|_IL0-e@l9Yt6Pef~ zCN-JKO<_t?nc6g_HJ$0rU`GG)Z!?+MEM_&E+09{2bD7&b=Jg-{^*{5O-vSo2kcBN` zQHxpJ5|*@-r7dGw%URwERkcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1 z<6ZB0-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A*Ycz#s-S z&|n5Pgdq)OXu}xRaE3R65shSIqZrj_MmL5rjb&`(7}t2lH-QOFWMY$;)MO?%g(*#C zYSWn3bf!0h8U4$@&17b?nAL1%H-|aRWp4AB*MI!i|IBB83s}%X7Pg2*EoN~`Skh9K zwv1&hXL&1F(MndfidC&| zd)?=L4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHm zKK6-Eedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;X3igBa96gBjcqhBTC+ z4P#it8Qus+G?I~xVpO9U-5AC+ma&avT;mzv1ST|*iA`cslbPHUrZknQO=DWqncfU$ z^e_K5lbOw8R{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0 z*dreGn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RU zOJDigH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMf!6~JVo(DOW^h9o(olvrjA0FDcq16m zNJch_QH^GFV;Iv|#x{;|jc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{Ozx>-wW;Tmi z&1QCUnA2S5HjjDz$AA6LeCD@+1ubM@i&)fR7Po{YEoEuTSk`iuw}KU|WM!*Z)oNC^ zhBd8aZR=Rqde*mr4Q*s&o7mK5Hn)W>ZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHS ze)e~O10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7c-C{C_ktI_T{rZt`E&0t3V@^3Sl*(_!?o7v4_PIH;t zJm&Qu|Mfrfnco5yw2*}@Vo{4(+!B_wl%*|WS<6}83Rbj|m91h`t6AL|*0h$jtz%v5 zS>FaWw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA` z;!uY<+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~L zm8)IjTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy#)Gr%AQHPB!NH-sS#WoW|~)^LV5f)R~mWTP0>Xht`NF^y$x;~3X? z#y5cp{hwiYfEL04008*gujOU8W!tuG+qP}nwr$(CZQHKhy?1eqXM7Ww&_pISiAhan za#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ<&_Wirh(#@CaZ6azQkJ%i zWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0b6eQbR<^c{ZEa_JJJ``q zcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+uV;$#sCpghbPIih@o#u3B zIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMFZgz`X-R5?8xYJ$kc8`1A z=Y9`(&_f>fh(|rQUiOMtz20@(I@YzG^=)878`;<&tPItN6J??d%`#s=64|&)l z9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4_kG|)ANklPKJ}T;ec?-A z`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLTa0}SF{1{&00{%vqW7}8LNHjH8Y$8d%> zf)R~mWTP0>Xht`NF^y$x|MfrP7}t2lH-QOFWMY$;)MO?%g(*#CYSWn3bf!0h8O>y7 zvzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsFa+bG(6|H1tt60@)R=0*V ztz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXiyV%ukcDIK;?PYKK*w=pc zcYp&OOYv1_RcfR+7 zAN}NKzxdT}e)oqz{pD}}1O(m*Fo=H{Xi$Usx4{izNJAOgFoyLX!x`QPMl_O6g(w33yrVpXeI-5S=kmbI;8UF%uj z1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O|m%Z&{U;EkL0S(u5^{F zUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^k9ph^p7fNbJ>yx=dEN_N z^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^edAl-`Q8tH^pl_c;#a@< z-5>t+m%sfJ5O_DhApT{bK@H~L1~-Hu4P|J<7}kFbXLutR(MU!%icyVbbYmFPSjP5W z|1*wpjc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHVS;XtRjp=qYgp4-*0zpyt!I53*w98cwuwz` zW^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@nIM6{3c8EhA=5R+i(ov3f zjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<}=5klK(p9c@jcZ-!dN;Vy zO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%HjAuRPc`ta;OJ4ShSH0$S zZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcT{rZt`E&0t0|nb|C6HJjPZVNP?I+dSqqpZP6dK?_;fA{Mon z#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{DLmS!HCN{O1&23>zTiMz+ zwzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz!yVyBM>*Otj&+>lo!~?# zIoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3Xu63R3-QY$yx!Emlb(`DW z;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJdD$yo^_thc;Z1LO+dJO% zp7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q;ZJ}0+dlz;_X7;#Uj`b~ zVE%1zLm1LfhBl00{l{>IH-Zt3WMrcl)o4aHhB1w0Z2$E?;~3X?#y5cpO=Mz|nABt@ zH-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh=w}mZjWoz5m)^@hHgB|T; zXS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyB zGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#d+2r!6$8E8<0`M1FhVMs$6 z+AxOoAHx~m2u3uLk&R+hqZ!>8#x$0({n!7DV_f4I-vlN!k%>)WQj?k76s9zlsZC>A z)0y53W;BzT&0kcU0u zQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0-v>VQk&k`iQ=j?V7rykB zuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A<_?z##r*pg|4h-v&2?Aq{0{!x+|o3}<*F z7|}>ZHi}V=W^`j1(^$s#U;i_XagAqu6PVCMCN_ylO=faan9@|HHjQabXL>W3(M)DG zi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&hXL&1F(MndfidC&|d)?=L4|vc+9`=YwJ?3#wc+yjz z_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-Eedcpt_|jLt_Kk0S=X*c+ z(NBK%i(mcbcYpZPU;g$_K;WYQgZP($1~r&}8{80vG?bwYV_5$&oZ*dNL?ap5C`L7! z(T!nDV;S3j{m(eYHJiEIG^RD3>CIq9Gnv^eW;L7H&0$V+ zncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_PkpR<)Ydtzk`TS=&0+wVw5D zU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q+1oz$wV(YR;6MjC*dY#e zn8O|6NJlx^F^+Yd{ns zHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreGn8!WgNl$s&GoJOF=e^)X zFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDigH@@|q@BQFMKl#}&e)XH* z{ozl4`P)AMfsX?W;$H?D)L{N?a6=f)Vg1K&hBtx{jbvn_7}aP-H-<5dWo-ZT zKjRqJc*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O10CdGhd9(>4tInj9pz}p zIM#8FcY+h0_Z7c-C{C_ktI_FaWw2_T%VpE&h+!nU9m91@K zTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY<+!2m+l%pNvSjRcu2~Kp9 zlbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)IjTGzSW4Q_Oko8978x4GRN z?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy%QG{7MKWuQR~ z=HCW4gdq)OXu}xRe+*}MBN)+0MmCC3jb?OX7}HqB_Fw-qj&Y4=d=r?^L?$+gNlj*Q zQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8LKe1&MJ;A=OIXrUmbQ## zEoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg#TiDW8wziFJZD)Hs*wIdQ zwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V=9p`u_IMGQ?c8XJ-=5%K` z(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+c8gox=5}|u(_QX%k9*zc zeh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p)(_7y5j(5H1eINMHM?Ut6 zPkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9Js*(gRen$eA6Ok)|_fBnxm#x-R)sd zd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1fDNc2o)1Bc=XF1zB&UK#i zUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+#ce&d=?scF0J>WqPdDtT! z^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gMec(eM`Pe5u^_kCo;Y(ln z+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fEm04B}q~8q{F^ZE!;v(olvrjA8x9aE3R6 z5shSIqZrj_MmL5rjb&{A^*`en*LcP^feB4yVw0HEWF|L-DNSW+)0ozDrZ!;fCVjNVT)MQVivcAB`sxX%UITOmbZcxtz>1ZSk-D)w}v&X zWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W*wt=!w}(CLWpDe~*M9bQ zfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^auA8Qus+G?I~xVpO9U z-5AC+ma+ZU|BPc?;~C!sCNzsj9h zHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}Cz3pRP``OpT1dE678^pvMP<5|yn-V0vz zl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD<6GbP-Vc8Clb`+KSHJn) zAO7^0zx@*s_%gsC{$-#+4d&klH-sS#WoW|~)_)9Vcq16mNJch_QH^GFV;Iv|#`a(T zGmdeMXM7Ww&_pISiAhana#NVnRHinKX-#K(Gnmm#W;Tmi&1QCUnA2S5HjjDDXMPJ< z&_Wirh(#@CaZ6azQkJ%iWi4lUD_GG=R&t!8y=Skqe8wvKhJXMG#k&_*`4iA`;0 zb6eQbR<^c{ZEa_JJJ``qcD9RM?Phm-*wbG2wvT=7XMYDc&_ND%h(jIba7Q@OQI2+u zV;$#sCpghbPIih@o#u3BIMZ3qc8+tM=X@8q&_ynGiA!DPa#y(0Rjzi8YhCAhH@MMF zZgz`X-R5?8xYJ$kc8`1A=Y9`(&_f>fh(|rQUiOMtz20@(I@YzG^=)878`;<&t zPItN6J??d%`#s=64|&)l9`%^VJ>f}DdD=6c^_=Iu;6*Qa*(+Z4n%BMIO>cSIJKpu4 z_kG|)ANklPKJ}T;ec?-A`Pw(W^_}ni;733C*)M+eo8SH6Pk;H_KLLTS0}SF{1{&00 z{%vqW7}8LNHjH8Y$8d%>f)R~mWTP0>Xht`NF^y$x|MfrP7}t2lH-QOFWMY$;)MO?% zg(*#CYSWn3bf!0h8O>y7vzXOvW;cg9&1G)$nAd#fw}1sLWMPX~)M6I5ge5IyY0FsF za+bG(6|H1tt60@)R=0*Vtz~WNSl4>iw}B09WMiAy)Mhrfg)MDmYunh?cDA>J9qnXi zyV%ukcDIK;?PYKK*w=pccYp&OOYv1_RcfR+7AN}NKzxdT}e)oqz{pD}}1O&bbFo=H{Xi$Usx4{izNJAOg zFoyLX!x`QPMl_O6g(w33yr zVpXeI-5S=kmbI;8UF%uj1~#;jjcsC6o7vnJwzQS4ZDU*8+1?Izw3D6fVpqG_-5&O| zm%Z&{U;EkL0S(u5^{FUE^BUx!w(Kbd#Ii;#Rl0-5u_9m%H8LUiZ1*10M8{hdts^ zk9ph^p7fNbJ>yx=dEN_N^pcmo;#IGC-5cKYmbbm*UGI6{2R`(XkA31(pZVMuzVwx^ zedAl-`Q8tH^pl_c;#a@<-5>t+m%sfJ5coF0ApT{bK@H~L1~-Hu4P|J<7}kFbXLutR z(MU!%icyVbbYmFPSjP5W|1*wpjc0rln9xKfHi=11W^z-Q(p084jcHA1dNY{OOlCHV zS;XtRjp=qYgp4- z*0zpyt!I53*w98cwuwz`W^-HE(pI*%jcsjbdpp?CPIk77UF~Lfd)U)n_O_3G?Pq@n zIM6{3c8EhA=5R+i(ov3fjAI?=cqcf~Nltc(Q=R5?XE@VY&UTJ-o#%WPxX?u|c8N<} z=5klK(p9c@jcZ-!dN;VyO>TCJTixb%cevAC?skuR-RFJ}c+f*0_J~J4=5bGW(o>%H zjAuRPc`ta;OJ4ShSH0$SZ+O#N-u8}nz2|)&_|Qi__K8n@=5t^8(pSFrjcT{rZt`E&0t0|nb|C6HJjPZVNP?I z+dSqqpZP6dK?_;fA{Mon#Vuh;OIg}7mbIMatzbnfS=lO9wVKtfVNGjU+d9^@p7m{D zLmS!HCN{O1&23>zTiMz+wzZw@?O;bc+1W03wVU1TVNZM6+dlTSpZy)+KnFS4Ar5tz z!yVyBM>*Otj&+>lo!~?#IoT;rb(+(i;Y?>a+d0m4p7UMcLKnH%B`$TD%U$70SGn3X zu63R3-QY$yx!Emlb(`DW;ZAqC+db}epZh)FK@WM@BOdjb$35XmPkGuip7osPz2HSJ zdD$yo^_thc;Z1LO+dJO%p7(v=Lm&CrCqDI=&wb%bU-{ZMzV)5&{oqGG`Pnai^_$=Q z;ZJ}0+dlz;?*k0tUj`b~VE%1zLm1LfhBl00{l{>IH-Zt3WMrcl)o4aHhB1w0Z2$E? z;~3X?#y5cpO=Mz|nABt@H-#xpWopxy)^w&fgBi_aX0w>pY-TryIn8Bm^O)Cs=C^sZ%%*0+HTZDeDc*wkh= zw}mZjWoz5m)^@hHgB|T;XS>+dZg#haJ?&+0``Fih_IH2-9pqq#IMiVdcZ4GyBGo9sZ=Q!7S&Ub+eUF2eyxYT7XcZDlm+4Jc+_Ja_k<@sZ+`cOKmFxz{{#en z2r!6$8E8<0`M1FhVMs$6+AxOoAHx~m2u3uLk&R+hqZ!>8#x$0({n!7DV_f4I-vlN! zk%>)WQj?k76s9zlsZC>A)0y53W;BzT&0kcU0uQIC1t6Q1;xr#<6Y&w1VpUi6Zez2a4`dEFb{^p>~1<6ZB0 z-v>VQk&k`iQ=j?V7rykBuYKcN-}&ATe)N-{{o+@@`Q0D>^q0T=6A<_@z##r*pg|4h z-v&2?Aq{0{!x+|o3}<*F7|}>ZHi}V=W^`j1(^$s#U;i_XagAqu6PVCMCN_ylO=faa zn9@|HHjQabXL>W3(M)DGi&@QPc5|51T;?{9dCg~j3s}%X7Pg2*EoN~`Skh9Kwv1&h zXL&1F(MndfidC&|d)?=L z4|vc+9`=YwJ?3#wc+yjz_Kase=Xo!9(Mw+TidVhnb#HjnTi*7LcfIF*ANbHmKK6-E zedcpt_|jLt_Kk0S=X*c+(NBK%i(mcbcYpZPU;g$_K;WkUgZP($1~r&}8{80vG?bwY zV_5$&oZ*dNL?ap5C`L7!(T!nDV;S3j{m(eYHJiEIG^RD3 z>CIq9Gnv^eW;L7H&0$V+ncF<(HJ|w{U_lF6*di9Sn8ht&NlRJUGM2TR<*i^vD_Pkp zR<)Ydtzk`TS=&0+wVw5DU_%?(*d{i$nayosOIz96Hnz2$?d@PkJK5PTcD0+`?O{)Q z+1oz$wV(YR;6MjC*dY#en8O|6NJlx^F^+Yd{nsHLi7?>)qf+H@Vp@Zgrd6-QiAmx!XPNb)Wk^;6V?0*dreG zn8!WgNl$s&GoJOF=e^)XFL~K3UiF&Sz2Qx7dD}bQ^`7^A;6oqz*e5>qna_RUOJDig zH@@|q@BQFMKl#}&e)XH*{ozl4`P)AMfu92m;$H?D)L{N?a6=f)Vg1K&hBtx{ zjbvn_7}aP-H-<5dWo-ZTKjRqJc*Zw@2~A{TlbFZDniQ*w%Kow}Tz+WM{kB)oymThdu3OZ~NHSe)e~O z10CdGhd9(>4tInj9pz}pIM#8FcY+h0_Z7 zc-C{C_ktI_FaW zw2_T%VpE&h+!nU9m91@KTie;*4tBJYo$X>*yV>0y_OzG1?PFj2+1~*UbdZA`;!uY< z+!2m+l%pNvSjRcu2~Kp9lbzyJr#amj&UBWuo#R~RIo|~?bdif);!>Bn+!d~Lm8)Ij zTGzSW4Q_Oko8978x4GRN?sS*C-Q!;Ox!(gG^pJ-=;!%%z+!LPkl&3x8S{Sy%QHNYVLWuQR~=HCW4gdq)OXu}xRe+*}MBN)+0MmCC3jb?OX7}HqB_Fw-q zj&Y4=d=r?^L?$+gNlj*QQ<&0JrZ$afO=o&Dn9)pTHj7!!W_EL!(_H2@k9o~!ehXO8 zLKe1&MJ;A=OIXrUmbQ##EoXTvSkX#Wwu)7)W_4>=(^}THj&-ePeH+-&MmDyIO>Jg# zTiDW8wziFJZD)Hs*wIdQwu@cuW_Nqo(_Z$rkA3ZDe+M|wK@N6^LmlRDM>x_^j&_V= z9p`u_IMGQ?c8XJ-=5%K`(^<}Tj&q&od>6RTMJ{%UOI_x2SGdwuu6B)UUFUi?xY12+ zc8gox=5}|u(_QX%k9*zceh+xiLmu{sM?L0oPk7Q(p7xAqJ?D8Zc+pE<_KH`%=5=p) z(_7y5j(5H1eINMHM?Ut6PkrWdU-;5jzV?l8edl{W_|Z>(_KRQr=68Si(_jAfPe9Js*(gRen$eA6Ok)|_fBnxm#x-R)sdd)eDQ_O+k=9pFF*IoKf%b(q5);Yde0+A)rGoa3F~L?=1f zDNc2o)1Bc=XF1zB&UK#iUEo3&x!5Hxb(zau;YwGz+BL3qo$KA;MmM?HEpBz2+uh+# zce&d=?scF0J>WqPdDtT!^_a&!;Ym+<+B2T@oaepZMK5{TD_-@Q*S+CQZ+Y80-u0gM zec(eM`Pe5u^_kCo;Y(ln+Bd%So$vkNM?d-5FMjo#-~HiFfBD-#0fFBG4B}q~8q{F^ zZE!;v(olvrjA8x9aE3R65shSIqZrj_MmL5rjb&{A^*`en*LcP^feB4yVw0HEWF|L- zDNSW+)0ozDrZ!;fCVjNVT)MQVivcAB`sxX%UITO zmbZcxtz>1ZSk-D)w}v&XWo_$N*Lv2sfemeBW1HC2W;VBlEp26M+t}82wzq>F?PO=W z*wt=!w}(CLWpDe~*M9bQfCC-mV23!=VGehMBOT>v$2it;j(36+o#bSvIMr!RcZM^a zuA8Qus+G?I~xVpO9U-5AC+ma+ZU|BPc?;~C!sCNzsj9hHnfqAZDLcK+1wVkw3V%GV_VzV-VS!Olb!8iSG(EW9`>}C zz3pRP``OpT1 zdE678^pvMP<5|yn-V0vzl9#>WRj+y78{YJmx4q+C?|I(`KJ<}~ed1G}`P>)2^p&rD z<6GbP-Vc8Clb`+KSHJn)AO7^0zx@*s_YN+qP}nwr$(CZQHi( zw@H5oFrfb#$iN0MsKE?w2tyjm(1tOr;S6sCBO1xbMlq_~0Tx+RNVdv9JB??*Ion$iWVAsKXrY2uC`~(T;Jf;~ehs;>!H@eBqZgH#I-0lu{ zy35_}aj*N_?*R{b$ip7-sK-3+2~T>;)1L9H=REHPFM7$#Uh%5eyzUKeddu71@vis0 z?*kwD$j3hMsn2}w3t#%m*S_(s?|knEKl;hfe(|f{{O%8b`pe(`@vr{^1o{)efc|G7 z0~^Gk1~a%J3~4As8^*APGrSRuXe1*W#i&Lzx-pDtEMptTxW+TS2~21r6Pv`OCNsGy zOlc}po5r-JGrbwiXeKk8#jIvCyE)8hE_0j5yyi2%1uSSG3tPmZ7PGh|ENLlATgI}M zv%D3oXeBFK#i~}bx;3n6Eo)oHy4JJ44Qyy58{5RDHnX`cY-uZ7+s3xGv%MYcXeT?{ z#jbXqnx-*>V zEN45%xz2OG3tZ?T7rVrzE_1mnT-Jn1P#jk$zyFdKtFMs>Tzy1pl=x+c6`k#ReY!HJQ%;1JF zq@fIL7{eOQ@J2AAk&J8Z~-m8@(P zt6I(K*083vtZg0ZTF?46u%V4?Y!jQ>%;vVRrLAmj8{68>_I9wNo$PEEyV}j}_OPeD z>}?=1`K%;Ao3q@x_|7{@x!@lJ4}lbq}nr#j8)&Tyu)ob4RvI?wqo zaG{G_>=Ku{%;m0drK?=+8rQnc^=@#Zo80Ucx4O;k?r^8O-0dFsy3hR{@Sul0>=BQ8 z%;TQ$q^CUX8P9so^Iq_xm%Qv1uX@ev-teZkyzL$Dde8el@S%@<>=U2*%;&!FrLTPL z8{hiQ_kQrBpZx3>zxvJZ{_v;2{OuqA`Y%ABe*p~We+DwJK@4gzgB!w-hBCBa3~M;U z8^MT1GO|&OYBZx8!EENeN-TfvG}va(gIYBj4{!Am2o7=*cwz9QtY->B)+rf@@va?<6YB#&v!=CoCw|(quKl?kt zfev!8LmcWbhdaWNj&ihP9P2p8JHd%gaNKZ2!g)VZjOI+$Q zm%GB1u5z_&TNdB#!=3JOw|m^{KKFaTgC6p*M?C5=k9)$Cp7OM3 zJnK2nd%=rd^0HUF>NT%>!<*jnws*YiJ@5O#hd%PLPkib#pZmg>zVfwieCs>k`@xTX W^0Qz3>Nmgp!=L{0w}1TWzyAT~Qam33 literal 0 HcmV?d00001 diff --git a/flash/blink.bin b/flash/blink.bin new file mode 100644 index 0000000000000000000000000000000000000000..314b6c061a067b6c35912e4625b1f31c5272f449 GIT binary patch literal 3296 zcmdT`U2Gf25#GH!i6@4Q8OcS+vx^)l1$7{&rEI5YQMW$c8DbKch!u zP*N`~Y6PXNA`gLESe61vR060#UlS;4{NO4EY0D1M7l%S#EG0k`1gIPWEmqWtrb)%h z%#z1rT=(a-2OMwa+iz!QXLn{uG@`!fBl4df@RIu;hl8*q|37~3+ZI<}s>mCWOH`d$ zAZ)P~QVARXitMWvC`ez_;p1@FD*n!ItNnz%xy|L; zGk^>>Eu7zu+nESqzl1L_3HxK!596L1*w}Y>Sx{++uvfRW(qZvhiHI}|Y%~9OuwO|h z($`A@`HuNU`qMOF=kLPEFd#xk9hivQ<4H|DS~}7_Faa2i+w)q!v`dstI!&`#z5N!+ z(JVbcFQQgi@ip*&-iQBvo#f<8J9mD!BVUQz=5t_6JYMn%K`Ux!(m`|9`mnqs(4rt@ z&7c)8-y6SKzBi1zVk%bH&V&`Lretk zXRRn$o;9bySDz^LR+leBd?N2Tv*tMN>y>YPc3Wf~c%Fo}2i_kLhXX6>L)O(zy^r>3 z;74bbZs5tH=ji7}>)NK+nGJNFS=LsPk@svb!?IiVr<#^%|d2VR)APVe1qXO29S!rF{_f%t&3^4>D=U5s}c%vpXv7anTeD05GQWHD4D0A%lHMxmBvFPX6<2A^jhP}rY zNse?s`6csst-by9yKyGUaOVyQ2mSlMS9r84ZM7AJ_P6z|Ww|PDO;)6Qp+YYBs$%sY zMq9C?BM%x!Hg*obR6W1_EMV_%&|Qc>vNBom0z5SGS!~DRahmIH9+tBt6v#@;S zHuI!nla;poWThQ#Zg*6ryM>B8-&XW`^YBIac+u+vIllmF?=QBuznVemnW7J*o9m=I zUfvlOOfhYwC(=vlRE*>x^A0X0uO^q0OQTDpshId$Q1|7CN>vzrE%PpNCR(se1EUkE zSQK9GW&A~rf&l_52RowiWEcXpmLTb1uNm?bOq1&74FN!-2oGMPNqQ}U~7WLYXai_7S24E&n+wkNEm9-6rBt5 zeBRf>>1mN$Y})w~Xfw=wP)X3PdAW5oqw@;H>kQ^lJ?op5$I@+NaDO0w*L0`}!fp#I zOAiwz)$p5GVU$t&M3_l}k`xMR4)-6))z)DT|7DKO=Xha`Q>&EGx}Ca>O|aqk1a;GX zS&#Di6trMfA6{z7P zyvk!@lm!uUorINCAeD-pTL8<0q?=9wO1&1HqX+S{uyzZDPMaKYYjyytkEUT&COM<* z;dao5<68pOGIcz=1YZm9Ossil>OBTIl@ofAgzFrvLk`C%KlKgY;&x%g8Ks6%_KIEu zeOF*jvt?eYMK;P0iIlT~z{0bruM2TtBEPFy?wfxfY%a>^ZZdT{WGag7x3Ew8Faz_5~OFsM%MhEkq~5?!-}Cckhd@Lk~N9 zf*$zxzv(Ly?<>@NYn|BIfp@pGBi?3r4MH^YT8QPNvJo(y7!QqwY^`r-WN6H5Bt|gS zpNu%?s+B%%%2CMk`f06>hKq#UO1kH=I_fu2cR9*wpzd-KoO7~;lK>9t zE(h`#?X;|=z*?xgoCN2bY~dt;gSyMfa?VT(Ckq_ZTR7aGnHGPt;1B99XO(kqwQyE} zgSyL6#<@h;aomIlb zW)*UCCo!h8%s8H$i+$2kuZ;4;d_}ZPLgJeD*r}7ofYjIVwD*`E$m->fJDwHRNVi^* ze(X7x)$e}1bLU2N=T5YmiaqGR$-Q+#M5$f&`2%VM{m;{g)^lK~Q7 z8lVg?6Hoz|1DFT672pOe1XKZ*0G0u62iy&~9}obn0UQB8^$6DkHUYK(4uhWtgpUE5 z0i8(iM7Rg=4B(f5=K(JP4gy{Uv;jH*hXI{{9>DJa#{r+BjX1*7fIk8L2k;5tZ-CDM z{{Wl^Tm%dPMgepI`VR3eoDI&T$?H#1#Wbq0+ckZbT85%)#>%yXYi(fnU0%)v0J8C12w?nj~DI}LP zMQGVo@fvNvr)Pszq9H+YhiV4)ukVR)65T+hh7Gnv)!|Tqct{$ERIJ=; zYjY1o@*7t5sJ*&;ZNBW*?6gM_ed**a=|q@1zZ*}eX;Y|ME2j+#5pU@128PW$pJ1^nYZYPmP-O&j zLw1pU9!=y}7`X660YYuE&IQS?Dz-NE?K0~h<|RNWu~ox*icrh>2E|EGu+_6`n1~$O zVr#2c7ss>uyVbWjlCz544s^|l0~UaPkyz-At^ z8H}j1)vocx6yuzhm~e`Baxo#nOI(7JNP-oNMAt@m)b$Dh%-#o^2Nrb@iF} zHA0~}O(^{E-6b>RC)Ik>inF(`_#phMU>cC8&F~(NHk+sxS}%FsT4b4ffVlG&8ay7H z=p{jnzae{UsJ)SAwQ>DbVOnPCdM3E5{qFh#fs|w$)R4snwY^jCFsQjD!B>_p3e)Lz z@!go0r-G%yX@><(S+HP$lolj@u3FBSa$Y%4bKV?ICg;ct&%V2G&4ps&gD{;bbd_q| z3l?Z(#japs;BEBI9M9CbE0_~p5y(xP04_IH9o*N(1?wK7r8@^n?JKgwD+E`rVtJN0 z7u=+p_xSCGhJbGhdIab1o`qJRf)j5aF zXDue|_GyeGTjG(4^;0^AlNZ-q`169J7mhD{#JlD~flybU;mtJ0TU|Zljn!<9S8pNZ zg^2m`!94d9HMzyO50{d5Sz&95@=LGfeRuw6RV2O)xjOCg9No z&m`sT@UA1(!N%8&5h}q5+4&)QJ|FYsUNtR|nbCXd3)Jt`e+Mo9i%Ncdt8CUDwcP4u zJr~;0_MUs|SqtxA7EN(uh4J^Im-huO_XsT+J-XD%`jNq!&ZC7MH}Q` zezUciAe8bIw;}D_iU~3{u8TppA@kjey|ZEKvNjrQMmh88*jO2al<~xBrs6iefD$n+ znG?df2)8@Q;~~ThFd%rPl-V$5m6(c2au79Oj>?9!enRX8M}PyGkeG5tyH3D z{X@NG;Go!Lg!rKvMBV2|s2jLRb z5K(9gMOhnpQqLxtx-zA|1XVt2`L0eS(8)ymcf=xSc|XHwk=hJ~0Mj@~GzY6qL6Oy8;t);haw#{ z1KkSIY(rk7B&&~tOXxJ6c0Q?dMhlp2v@m*@NMT2<*Wzd$?$@e{qn?qIp~{+pt?T{X z^L?(4c~#ZwyhZaCb6O53lyfmFU_zqASD3J=xvEKr-KcfCG*vHvwdw))P6=(u~l zETrChi|-}v!xt{_$|AMAS^$n8_w0S8kh@-B79ibNl1VoyRjOC*x!|d2zOMxPuSaop zPN^nk`D$U8)hnGP#E$iF&9^XDe+yIiEsXtJnA~q+gt?qdN(Trj*h&Vl+FVG_2FQQ~ z01;3M&>)d$$!)x0hLD&OWcD_3oyv#?WV<;NdK z&g07CYK@WexZ+c2aO&s+Q@ZJ+J>W?gqAw!P)FF%!dZX4~)ye zR0s3=yZIYIRV(`gz;--_b3(4s{!x;tk)|`dC!M@Ho!J>r>f=aW|9--F;#>HGQ^wl< zPMXei#`F50BGP?%{X2+wAJI{LsR|WM&?{bCT@_tc?YEq|rOO)LPZntvE2u~^AEhg1I-4framU(w7 zaoCeqZw!0m{UT9ydZF#Mo}p)tNKV=wVlRztdjiCd^#2q>zu zdI7+OI{5G;jQi2>7j1=$u2K1c#Hx2LCRSZzTM&NdykL4oek`1Ktq=Qkx?JMDhUP3D zcRLAt^0OB~3K2R)G_UR;q0ZWTOEs@e<)4u~SOXzQBtnKvIsYID@)_V0l868>2C&t3 z5eXKvY0>+4rwLVy*3AK|FoHJAIO{3hQv2xic*Q>Xh z{rJ9OjvC)%_#QA@A7o$ugKR%Fwyr6=zH7DAbB;=@OLh)lIDfpIXt1e`Q^17I8zD!( zuYYsBq?7lgd-Zib>R1nrLo{)O8sSwqy-w)7t)o|W`otd)ZGrwOWG^|8PI}U8?38+< zO&KAYA5wnKAS{3AC7dyEUM}^~gGBpk$SzW(iteBXozQ6Aqr@RdEGDFg!vYuH4`6z1-I$j`)E~P`S>Sl5yQdYwBIMe)0Prs_?5S&m1$UBLZ*sPO- zEX+e|59$`*zexh-y+t?qdiA?j|C{IvHAu{w`I-Lt#L6N;G9%ugzdMm}Ri|s<`IHVL!MtZ`|_lzh)FFeP8H3tHXOVw_SY&GL zJfk&Hi4)5cb34fSiNYuCA}C8y`jd7Ev8lQ|@do%&8e8GD@e)Vo{Q2(40*oBdtUB}% zW`{#PcC4l&Z_)(WD^DRUWG`_J#zU1eKi9p2uNkAXaaGO0(^uc5^y*3z)?S5djHa`V zSyGoUpMOeK<4ni+JHh-Ax6c9Pf^jVURl4Xf>&K<|Ih;?QGHCvgo}-+oVz~(;_j)}U z|8t*Y^zS6{jv9FYh@eSW)Y*Qg0ZPC(^`uA(m->4^G!a z%hvr1bGnE~#m+PRO_>tJ$$F%@Bff)+(Hpy{ytIV%g=mlJtS3K4OGMk4T8ExEQO6qA zlRK_eepQ3G&U*2HJ{bRp(F>OUQ2JVBZxzw*GV<=y^>}Ze+sAT3$axAm%Z;2x$T``U zsq<#!u+d<(%|~s&@1qzKR%QWmq9`-Z$g4n^Lw&@^nV)uU&y>CnG!f8Tr#n%8DrksS zoGMJP@^jM`-?fS}8Zq&wnVmTp-#gak)bz$GMzJhC7Z$YEFwfwzq)Ws&N(vhlmh0?t zbu_n{u(~s{W$y6Zi`hv2Md$Xg{l*ct=h)6dKK30!9NN&ZvI_6|;Upg|CIoU(9xj;4ou{Ekwxddp9ib{jkbs>eG=sTQ6T?9+R@mhRueQ zb?FlgUTrbP0WoCp(2&`Xybj%pxuii}@8LlCB zF%IeEf4*UMSg@ng$w@~D`3d+uq;PW1Ekw4na3hgL7{7J^B@sJp4ZDDlAfH${nc4m% z2e5+3`yZ!3Vf_-GG!mT80I=Ka&^UGw+K~WoGV237u^`VF6LJuCB~B)!Hl4il4MHLv zORJ)uY)CJxW#H5yraL0Foo58>_`n;L$hBs2k*>|;%C>|D_$&j@^vnVS&tmh3XeSrt z2HbVo(MjG#fbD?y0NKq*1GWR+18|YX?KS=BA5D@Qo3fsujiE}dgO)IyEI5GU9Nf0X z?K@O%hP8{7+z{Il723FxX^YpWGdceB8g<$LF|AP?OJ=Dv)lyZe5w!Wje+&-{{Qg38 z!bb1!E^wQ0PPw>4{X-P%@yBm@uIid@yO(z0gkqcakoUFWfeZIeezqOwmwWK1#B(m>;6Kos>65 z3%l~Yjo{3SuMH;Z3g%2SFaEd2*(whCy`)f=beN2yjD zm1eaE-UN)Z%Ep;e=2JHO8fwOqOB|EX|Jhv*zr(+sEbfw-EiH>xSH`jce9i8f% ze!wBX{7A7zKuZvRB=3282B!x}IoH!G^idEFy;@Cx_)|`$ncf zzC50$jy#E-;ZKhI60-GXXHgf?w!;#2`DzA+LL&6(j5}~TcujDW8Cv135ocI#Cr*Et z>^T^&YA0p>t}0J=yWM$9*YS3H*%{oSKn@MUn?6XK!!hXlLCQj4iv}w?iFP0CHD+%y znVbvkOkHFWQA_H*`q9V8K^bzaUKgRU)5({0YUG_o-Yc8P!MVVFAF`Hgf_Ki4KTLXO zBzdpCV-Gp#2gOoYZ;WyWB&P|Sbm>fMi%hR7tfh~EA7nn00MXiwyaJpRS&8+)cHc>U zfmgre9=cm1$cxs}^GDXk$!Pp#fQLJS}>;0dtGO zWkM%4#=D@C*_x^3(N5;8nOs%9WBZO3#daUOTc)di`m4i#*x@@o2Y$2{+FiJvg=ez# z{cZNd9C*s^Eq=D$HJw>W@M`wW8MKrA7m0kCZu_?CZMYMFy;1~w(Fq7!i6|m8Y{|=Z zrN9}s47{QutV$UchMejn_~LvVR`eP zez1K_!#(Ggq~{O2MCo;yu8Y4){KfP~+C9Gg(U&&uyKZN9^Y^w*YM_xx4d2_=-F)57 zP5T~wX?#2FHvKX2m&ISXIwru6C0|Ny+y+l(j=Dsh;e8TtT$Q}c=Tzji!`p>5iFo$6 zJh=_6u#k3{s@d(a)s^mx6k#Rrr3!6@gdzm?bfjbc*A^_Xr;=Zd7`_qvgv58h#zzR& zlYx&ATVl=?%NF?m%FrWra)fq13eRb-@3&EM0MccIduF=7ZCKN4E_H9XLFT+KL>$qY zODR~ij!3yWZ4tYJts`y2{pX)(7s}^$2~u;rm^c@OUt;rX9-)gn=iykcIX1E69xsc* zK6E#?+f0IC)ik%Wo5$w%NIOoW8*06fSQ}d4x0j2>ZO=D}QCXuzjEHFSVue*c7{v{3 zv(+m`4m6jsu;Ec=qvEVU?gHFLWZ9EPp2xZ2vVBTnpQgUHPib@_-UUQ9~Io4&f!pxGdZVi;4w(Fr&;>aS>L89>)tKEu~W@H}I8N z*oL*rIO%?q$%UUBrms)%qJ`bi*x|{NYUYR^7Ax%>BVdvdx9iNaF508qmgu;bsN;{U4Z=nT>QyJ z%w~ejV0P2{z-lg@eS&vjr zIo^&}bjx~HVy@xIq3hl2Grk;1_)YBQ)TX*n2amE|Kog)_wR?@by|RXH_&gfW*HDM0 z)K->#>iP3Flj+<-)9=++H@02Hc-K#>KxyU&=mE{QE$e}Ffy9|4D?G<|P-^pI~Mcj;!?se?tNjjAt8BM0U zg%TF-uig#qIq+V@(#3&9&bNczoq4_20g7}<^--FI z%s!#cWQ>IzlgVzu7loxU)o1?YXmW4rsGis)^e66oM0rbb8#iH=!7w~pkxKUBMRSiP zN8e(1`UL*;j}7mT9XGZ--qmHtOsB3&Fxfyf*D%(%5&O$<_E_xVusM;jjv|!NL9TECN6;J8;5??+&{vKZ9?eo}A?wxcj@F`wDaq;)mQ$_D7EuOhuoNrhA zah27O9+{B%P)|(D4@zxvn0E?YqAvc38MUbBjIyi!bECEHk+MYD+P2{f{rqr2 z!OFwWcx4@ox@h5_| zwtS2X!(_@PrWL3ym8_14LCH#ka#|g*SIT9r&6LU1QZ<%hHH;>k2QR;$+NO`A*$Jma zhl!i^7v)n&b;uez7Qv`!)AT?%>e1l+vwckwsvwsswDCs%`BNflyl#knI|tcVjwbIk zQcd`>`@qrUxHnFOWXBTjv}{l$^5!)&rM~@?3vW0oNb8f!)}QCjG`sT~>RZ z&T9RuvMNAIUJR@Xp%j%u#!I&@N1!l)wBVd(8Wse`4epWBj1xL-%^2lh6-R(b&j(fo zq%bLyd|Z4q`SvhPj3#G}@jalux(|$h+?yHwVf|pGY-Zs!<99UKILKOj3cC&OjPbHKi_(EqAm7X6 zA>JZFrgGG+@Zx9^eptLG5u#64{|p}O+*pezI|eJE#v0oOFTN?(gfC}&g?P=SpKqEW zFNx0ZMu4@%9>rIP;WW2!hMe0x!z)A2q9Pi#6U7dz58oRfM z;9U6VH_n9_AN!TFqN{`3kvZpb+k_pBQGR>Pr5&55%em3%I6IDlhbPe*`gl}qA-K&IcF34-v##cB?Uv9$>TGod5tf{2t zQm@g<(&!xTg0rSV*=T10zT?o&qeeRnkNX#+B_rOSp2ON&`otWs=j>x#rnLsN#zT8$R%FkiFLR+Pa@n+&mTc(1>NE3S2^L1NG zFR^>COwXFOf3s&xQ1TnC&CW7fy9!@Z9DW0%R&YO7BVEn7C&nM_5&`FY*eN6jUK&bHw;hJ*~gVIRM1sXv_MEc>YU4DQ9BduhWl?{9s_qSU!GegO4bV!X2|P90^2p8eV2!UT1g&s%A-??5;*g*tEk2=C#Y zg&A`fZ6|R61PL7I88U_I$kzqxX^Vc4GXX3-!OK&%`LR(x0OA zrFbw}c7!;;MENgb?7dDp`OxTReHn_Ap!i!nw~B*|#EcWCgBvDti#|yb5|`r}O1L)a zuqm8__2GoUJt3=MWB&w@sgcPA_B*3opBjZlbO*K3G9h-yDZXr0EbmkuxLTnzE&6#> zF5_dYZCaKC-^!~xvFE_%VLBV5v9_g>!~L43bOKMx@?xJyNm)(|De(zUw9DoLy~t9m zRibUvf7IFCZgM(|70p|73$89^ekG=v{|ECjN#BLoM~IErPa<{-F}q$6Y^nSMV%d5f zV#g6P>$?y;ikJyCGH%*oQL>db8UCsk{n#{Hh`rTb9$pmM9sV%PpA$+JMaFGev4zF9 zM|N*Hi5TZN5jh_1)^EwDur2cQ?S}ne4HL7!DZ1K2ALDUxFVFPduYvN?PU8grMUr|?lvYnK$kPnmT z7ve5x>27_lgqs41D?!^8cNJU{cb-}WJfn@MpWv4!WMy@rVrz3-w)}nbZa&bwHSc zypiNjQwg;j=a10cEVU|yU&+970tXwa2JE$b40i~QD!gdf5P2TIBs!)br`DgToy*F! znduRJF_vldz!;uclISUZg^1^uh&b*({Y z59RZA(f23JHrqGMnzzK~9EPP&1>=-3lKf3NY~fD}pcX(q7jY}%R>XBPf7*_?9q~hm zi-?PehY^<%ml+=P9O4|}bIs<{843Qh-WrSmQ(yf3vv*nd#aAS*^zbg$L#&N64Sw|S zc*t{_aX6B!!%HdFlWt4NX?k6#p7GB3&5noaNsw99CU;y9m&knkxms6`9_3lNkI=J% z|J5_oSkG=sXSfU1Gww3I4Ap0PX~8U^ti2g)BUI0N%Xqyzt0SIyo5r*%ep45e@Y}bB z09lK@M48N_uO$a5OftC2(X&juj(2C&}TS%>fQ+6^EpK_oE0JcMH527wxB%eb#)u$BKRnN z%xXQ?Y#EpMXWWbJ-ydr3Kd;KDdFrsHiba}&87gWG9K!GCBJGcPZtG$-HF$9A=S;+R z;_av4yYkBrroBg!@FV?ye)%!>TMzu^gXZ_(w;y@fMPl%JiQ-FCDJTx46f1rOIg*TE z&%l$g4Ea6|zOF3n016p{$5Emk{+&=|sxR{o?*snXiTgo63EK~+k{_pjkyx{sh)QUG zX!VjkgB^s7)!^O^JN^goZ?Zehj2{fI1`NN~DxG;R*&SeF*ctX$vEL`jlboT0 zVPEwwoE4fU9Sq6s9PxM6dak_ZINlz`3Eb*a)+<|x^E)y4=fdqC%YRd&&Uv^2ser8I zhZ)bj)BG_-%QJz!7TAFy)1>l(lUJh zJoBl42Cl^Q?9HIEWiR}Rb8$Kn3@`n;HzFMq{jexQ6sMR2y1lKyKaxz0vNg@gqsjks z$lj5=c>h*^TRuE@k|8OLcN>_$FGewYpkrsurw$K;nqn%B zi8F7B-vb*n4>qPbW-GVEvdeSgd&7Rk1zRv{^7O=AQQTrFWk^{oN)r=N*KH#lY_0pl z=9wrlb7CwTzt`IvwklUA?vKv2IcA#U{~cXa?(C{|(0-R-Nk}tIaoRsc`0uC<*et9V zg4)u_8t6=R7H-iCD){L_oat7^^FHv(bvi_Ag!-9wBw`LA(!ugh8F`JZH)0%(TRDY5 zhEUa2joUiq`YJc#(m+I_!1pM0C)o)){I-_@Puc6%o%ETiV&I>dc$hxZc5(j?`{VGV zLZ;BnFSW}`;tgtp(!hSP=FWusmo+GpjGE#7ThD50rX!~(RLTAPN*gHIZ@~;ooMTx? zwH#_K8$vx2elOghg~ literal 0 HcmV?d00001 diff --git a/flash/demo32k.bin b/flash/demo32k.bin new file mode 100644 index 0000000000000000000000000000000000000000..f5237825ac3d8ac1804edcf366ffdbfbf73edf86 GIT binary patch literal 32768 zcmeHveSB2Kx&O>LXJ4{O$R-2~7~t$C$c8sJ3Ki=MXLrM96J8PmzH2>8K+hu41%WQK z)=h$TBX}E7EWWe_TYKTEznesJFQ_qz(h8{UE-&}mYul{VHb}G{LSRo`_kQPW5Ult2 z`}}^N&+pHK&vRzxnP+C6d1mIBXP%iQoI%7nZepH)BQakGxE^o=;3fe1hrfluxkm9G zgo^;3DEk}0QNV|Q7~mA(`J0IOPY6E&{1xyy;LU7eK8x@?pdT;{pdMm20MY=NfNa17 zz$CyFfDJGmPz0C*Cs z0zb6~9|JT1+K}Ffa5vytz|R2t051U!0A2+&16l!x0BwLyz;6IY0iU9c7{XJ4KLGv& z_yq7*z~_K30cQc{0sVks04+iP0m0U~Cc#TY(%7Z_>bALFUL@+#p}0Z=Was9oIZ^T~ zDe5~#o^`2|lAYvP>SsAMQ#s@uHEAaqee)0zH@7)k4~Kqu=;Qo*tbEVzhe=NziE2^8 z$CqouEKXjX|9a0av$PXLbhcSrNx|{_l~%6j=?y6wL2+rq;=A%+>v<70X0H{s5&Jtq zT2LR93hKkOXk4s9-Rth$V7AeqU~>g4`u48x40ATRf!b;}SmI@ey0p_*b#BN&zA=^W z?+m{qbLWYl`iadFCq1=1*W=0+&Rf^~%rBgGuD*LsS-vOqto!T2!rY+GqjUaa;3 zTVJ?%)mBTht1p~gTivO2Y0_2Ml1sJHPFeIO6E`N~A?o;UEUwJ7)ym(AcPcJb8qJ%V ztUzgTEAKG3SM*86u0ChByw$AN6<2Djb1?z~gK?!(v2W#Pn%mJvai?tC$`|Ft=mTBa zN7J(<_I01321E4`P=5;QMSX-)J@v;y*0vFC*D5x3Ds`zdX{}7eYuY-1VdKunS**-l zMi@R=8pha=UF12pDsn9JpL-$)p*lt50%TVin;XyVGHNHY;-Iv#S;KmYP|diE;y5VS z?AbL)L=J7Sxz(kK6IlHn$~%f(?Qqi~bGut5Ra`XfkXsGA-;u9DiTcqJQh=zGF#2Rd zOHv1oQq+{H%@qg-%u=wF1UO}vnW{Wpex{C6IasP;WKL=sl-Q^&^kx_og(v|_^(%@CP@yJ^YY`G#r=Qr9Vujl1N{|1ime=utMx1X3DpZp3u4e6cGq6Xl%C8PR z=QbY~dg@GX2Ch`dtR?N~UQ*EAP3)}9O1;bvYA%-fLCrVI{GjIYGH5e^nX}&P2`FZN z6ULa2Dd@>Z<7u_%B`T*8vA2!b-cfe?!R56p{43-Y7=gF0eahbvB8RNDF70)mdKv=} zkJ;1cXCsf%41^WQY*l$;h;j~7R5-yqxTp~4ZCsqQkvO%Py}?pzD-ArMgaf?tN6Tki zl{rV{IU&l;6r%J5Ka-CYcxk*u<#}HADd2H~)#Jm=VynJ9L}#XlNKZXyi0ul{LScYE z_k4>Guc}GKuM~2X=|b+w_m<9XcKE6?1r^25-pf}u~EKHGCN(qN!!aJ|jrQp3w# zeZ-Y5)4RnL3*`BszKW>iZSbL8`8DGlE9j!<)B{>s}D?8rUu9}`G@9tXG`Si ztoT1EzjhmrFY}WZ?mK4`$kTkB{A~3(qk-%uFBJB5a?Rv93Q1_ZHp#n(A$`8=9*%&8R_4IgZMDMK0QNCC69kl#U3i-uN zl2Lusbd!hmTxdqyyYH%DExe0SG{p27#@~fr-tE8GBebOV=t3LoM+$369c;8|Z*OA{kgi zJ+-u~rU9!{8r4v#yl_jf)K!yPZt-_wR+?k38q#~Ds@%`otWd+yRwzqcnLMl`LAoy4 znoK6iIYQ>-hKWQvNSN@nmcV)nn-|i59(J}}rKuRkzZTa^hraRkCTcs19 zSz8}(qBe@wPihr?A63#uUVKtZBOJak3dL773z@To9$?xlv)^Jj+lYFHX0fLsyai!8 z!sQ4v5H3XxVVO2kl(mp2wRDoID^>dMpvp!q-_@uMbP`ej4Y4p<-pep*xH5$yz|{2< z)y`@Y`9^};ti+6OHeogA5Pm=QQ&41UGm*y7;7bWnr)fkrX{;~V1I8w68zG#;>L6;` z8;t)82g9uueH}7UEdw6CB&&~tOYCVHZF^GV^cFDMXkqvev4!lF9+SOkuvab1kGO}9 z2TLpZwyyVi&UQOnuP-ZCu3vop5>CzFgqd8_444=%@aD!XN~U5^7aFR#CGD>dp6`7P zkgmLYE;9a34-2X1uKc@5%iy_lyu4VMSuOy_kAME|Lg-x&FeOOW6{OPja+%^$I?uU_ z8}2T^`s(B87c~CR($4KjW@p^BA1X z8^xT|F+O0NI;K33)!V^e3#v-V=Lfd+F`N@J_4W^wRE;#5T0P0c70J}fcv2frvU>Lt z#uMMjADBAY_IHwWjw6=U`!uoLoz=U8h<6k9n3mQ1L!FZ9>&mRJt2CW^3!#|x*MUL- zEn8Pv`s(=Rs@R|znw)Kr2QAjDR=&JS6Csra?Vn+82pkcK6(B($5~da}0Y&^GAgccv z@pZOR<$cezvbrrZU$b~~l>%wJyh0I_UwT%^{FV>$3KWAf%TpjfrQ@EGPw3$Z*|Wu< zSZA4HJC!2OPB{j9((H+1ZG4a?Dh>~JyDb@r|I|;T`W}C`+bsGPy_uP6=OvI9>I6N(R@Gq`tE1zsjg{F(bes%ZJj;Twz^>F;JLF$TZjsq zT0aF$Y`YeE^j_`q`I1cBne5Wmd8vIpb{wLLLsSpP;q*GO?dH}l&EXXvBx;HFDs(T| zpG>%uOm=b&QKt?O)dwvt^G%Ig-q#!~knH4r1}Ol|MFxGb-$xRBh`bUwV$(eCid ze%lmAGZ}qm;mt$xI+i!DoqCJBFRsJ6nC@G>pxxk=u9R07wQl+$ zwp5GKTW@!_bz8wh;UZ4w{E5MEGe%zMSjRaGX#Gb?>J>z)j>G;JLY(z0FlcR?^SSDI ztSQ6Wi1cYla-Y8Q5^FM{4s~gtt#*1TYY%(8Ko(y}24B^TR-+IV^wLYw4zbNZo=-D+vux84^Pp~vZ8Bmvqi&1sO2n>59TNDN z-LFn^xbx(0rfx+ZvSJj9+l zf4(6&j*MmrIy*mkn zIZq>Jg`Tq*Imf$Gb>4s+CJk2GLe%!#ZVH*OG9}1~pv?7pUNOoX>?V57!lYw+s`L!d zgh4YybD;b*&=56$Bsb2=FG!laSILe@*ubA+c4mKU&uE*|k{iny#q#6=SkP+i^*WCQ z?IPr8lbKXluA|-A+R$Xc>`v*H1%r1iVUql_#_eY7jU#N$v6Y2SQkw}>pAA&>S%ajJQ3!-lG3ysp_?pPVC2XY#b|Y5UB81GocfkVR3#)vNHVe7) zw3$ndqgrO03ut3q$Elgn z9$DITZI|#>AzPwhjDJz>cHsKLe(a~$WJ|TMki!kZ(%M># zM?qQ|^FuVWkePLn-1cmb{S2kGtdyNJTsjXxKKeEmp^k?MGXzMW=Cx0zK3ZZ+bs*3`Viv_fr-E zo7Z35M%24uuQ7Xz>Eujc=V&6+h-yOX(hfgH4oJ{rHJXSWJDGS{qk7&Mf5}>Lyr>KJQ54OW3!t;~*Vi zVBe)AS&tuXsqoFwuoL!CGV$<1wx~2nmP&^7s+KF^UJRCt z8R>Bnr|8fjZHzUrJ>T%{sc!7`$kyL2x2dcFpFZVw)jH$`f~4Wu-B6b%y!I@ z=ZBwPHgEImtH762T{RCjc2Evh8_zljQNI{=Vnn-!D^vo0UJt)6&+}8!xe0fIFBW?% zk=H!jr7fr{)-B_ghjF6O?IZ(9*#j6CsTQ(1tT8DuyKLUJzIwiZ+Bq95ePWo!dEFlW zaG0$IqW)S7!Xh5{{?)QW3BSgulEW$L^bwp0q6{-Q9IR)SdoOZWI5Ny~SnTh^P4&U; zKXnKvnzmaU^frf^3lKj=*1sMoB#0O_BFM4_AE^<9CV7d*I~LY`6~Wr!|!RwgqBBN+VtFvogEF| z+cvqDh9}p4Z(B#hjGddFd-SCVEwsb%`}m)ieC2GN2tSr|VPxYrcrr7TrOIs2lYpa& z&BJ_7c^)ggU6_-Ir+>?nTiXN+X_uj#-5#5r$?k9-X7U~?(Wdc=+o(Y8n7InDI`IzskCy9{y9&hj-6 zs%piBjt$pHoae=`JyLOD1QxA5JX4vznBBovk>IOZnoqef45Q zQYjI`BHBD(Y?cm0a6{W*_K4yA4Mi-heU#a#I4h9b0e2Hga%Yi!I5%9hPbuuvk+1Dj z8kvN50pShV9%!&t7p>M5mZY#%qgeQ(nbk$r5z6fL(fYo&ZJE8Rqn#psy*KqN)4DwvwHEd*a6bti- ziyTHZ*?rVgi#D0hf~W=1Ni~`rvW3i^PXM+pX3su^`SDc#c;rvhn7u^QD0V1<>yK5T zY|o1TvxlXr-DXRR!~24LijZKbIEoc@Tg#x0$K10aJ~7Vj`!l^0z1&iambEnk+Y6&oIg)6=nU{(qiM0r=2=5zQw#0zj zClQqA1`Rmnve@4dV<*g!#OH$?TB(BXGPO60dMb=>g(l&Q({T+H7w^*7Pw^|p^r_7eXjKQg!^VC&%G+%VHTwi}j3*ITPKPxBcXK9?@ zGN1>iwNe|?*v)tkGLi^_>ybnaq{n2<<9q)gTkpXEx(8$4pLx7XJ2{@sWNE4oR`&;lOWTWe{7eupLB^-yMp%?h=z|eu#>GTf z5n2o{jMNd0I=Im^v$x41Q5F^Jn(Ucq>cByth^XN^fE3$YiqQ2u)@)~l_0e~mhCyv`fZc^ih-Zqy!~`c;g~ zP#z(Uli;y2#jB`nA}ZFLonUwW0YU-c_Ytz&*oP6a+t-KCx?__hIv`&qXcG4$JRNkb zXO`B;uqZkBMA@1L3IBO-$Q*OlR$8gq+_{}4``^{wkrrrG(avI+Z;@C`{S?qPg zgJn3Ij~Q4#z<3%0Pu>(ywg`MnqL#|NVTgqziPs=2$0lXdNTPax$wD4ra=2%J$>7cb zikVT}&tYav)hx$TvqF|1^r`H0hf|_SgjEj8hxD@R^s)iH?0o~9x|t<%Ynw%UCcfI% zG|kmfweCnUXQhAMp%PegP?TLyOgrWnJs4^cP&Y+m|ypEy4==j zoIMlrQxJ|rxEdi&k2tAd4+&P5rNNJYo$GAb)Me$_VYc$kR#u;tuoYgigspK{yU;W& zJU1vKFPBh!CqfSl1Wk>MS|kRYE-{!I#ku;rC+hEqQurxDsZoX3Ot0tIDBcG=8|8_k z_}`A=HvrE@-7<>r9KBg-8?<1qGEO?)VtV0+hv@4QeR$_3vo{q(F}B<1_^6WI4FAYf z<_8G3a<khX9`$*oQWa9HAd+Eh&9c!G#3viFc z?4zM%mU$bt*V_Sm0l4^+@|ev8ox$v;4}jYYI=A9s9*r&TGrXPoHQaKYvXEhzUjymw z%+G;b4_7C@v)0}hYdUgkE=S^mWiC>(^!shSq^16-(}dtYcJ7*|2For zFYID`bgW}9Pm&|aq2WZbLnxq9+&PrQ%uqTmyVJhG*NHLXPkpzH$_yC)*nO|UyAZt5 zgQnk%_h3aCh>^`W^=xKy*zA}Zb1rq@ZJiW!;N;=-u&=1%kd3fJ<)~T5NPS_aLuUJ1 z5ndp2v`A*5hA`L`Y$fUysEK)?Co&(vdUn4t9n=f7V%@7&3@WpSo$Q!hu^ja)jz*1$rzs64U%Lr0ys){ElM3kli(YQ{ z>O(8y8Gvvrd-*}2qdrQqkl82Hk%+R8V>;O-cq6bhrg@D&8&2#QIjqGu3BB>#9+BUc zUHVO!sXqjdR=AYCcu`%$iQ%`|oj!p-{bSubWW|jwk9T$HQNxLGai$xH>Kw%U)?taH0-UPIn%@y4c`#kWnvfZ6|{Mh6(e)hVQi=9^T@=nXU zT0YlX>liAE7p-j`JlD$)=H#q8^sL)*V(r?UZqsq5kyxw$ij$eLD#cSw`A41(j`FnP zTRgE_F-WvP()XvQG!_f%HR5%R{z_?Y=%n`9gfbMQG zCp(Iq4vZJmG~w2$7N2k|U}?^VWEdt@HaA|CE{@{!9r$K)xt=Sg(B+Shc+KJ7!-iBI>V0B%CzJii-6u^4m(ovr4 z&CB6>gV(Sdo8g2{f2qrA&(c_}f03*Gq~MqS>L5x{TTp-L)^7Lb#*r2r(+z`yz_`IZ zQj&2(r>_~M{EKY&6Wcz2wci#ZMK&)N8&144NaMqaIiq|Kb&^I4h$^66+}C4#o?>PB z@#w|!U^d?6;59PdWRZeoqBl955Oo@hH{EDD#eLle#y{@O^!~7Zuu>MIaEkFeoT%$( zEk2FahIhtzS)4{`--tik!}KBEB0{Hf)Ft!cZ~}f2TM8hE`jzj-{6x%O237JMPg%V+`x_I zvO6kvAIErQxh!pM<#*7+WVinAY#YC0^xfGu+{TcgzHeA3>{{jvr8$Z|>N<^k@fTj& z@KT!Lr4@xt8;kuvi$@~FA;ym2J0%tg;cnfyANvGRe-i$Cuo$~r5}q?g`Lfp(LQGVv;n0$!k6KGp2Wg3dTcVQ)e)4w+Uv}VnYxJ7Rw znoQg^TpR*THlrvT{<7QA%u4XK13~KT>lVF#?LHErj%Bg^sNWRj9c3|UFVgqfANMbc zQ@io3nI^jThr&~-;at6HVZ{lG~__VjXImfJ6B+}X9deast z$FC29Jh4zmPINq8xTi(aPZ%70bOGK$OPnR{Q7btUzD`cSSL7(ZR<2xrezY~=qg35Q z{5?)>JvfO3^}RCF`!wFk@{aV_{zyj)xP~v)qMeR74zd0(f_7nVUzuJNu`9)=?^3ML zhPpkoB>=s5ZIl*?QNCzN4E12Ai?xS2U2FGKSk*au|TBgP2%`F%6}1M?{$)i2ZlfEPEi~O#b0BYWgK)Q zMw~e8+#rz}waGRielfnGfNQ39i_Ft6O4M!I!)+Zc28Ugr(Y!e`=ZbvhS7JN!pD-?ywOxpP zgxCb_IASLdvuZhk#?s#*mabJHb`&wAwhOVth#62Lp2VhzSCwO3y_NDi^rm%Nu0lKATU@fy90%o&Ik8b8f^K7V{TCt?ZmumL!` zIexsws?i%(tgOmE-a-mk$cMxoc&`O-m*8K~_rXhP zhn{AZ32q*+ZzmJi4H?l-!D~R;g!C0d%&Is5Ia}MdY1tJ1Yu%s4?B>+Vq6(FmhZ5Jq zrw&UlEP96$-x)Cwc)C%;{;-4RPqFct*dO*Pyr9Q_p-E@V=KUt_R9wLr`+uE}-{z!s zX~IS_C-0PVtCPJ|r(tuLy;aXP%YVSl*2VYfqW5~GArL8z?jOZ7OA%Pf@30$p*O?A0Im4TTp2v)u z>B>`LKze}M4oDG?E#RxBGnxX}J><_@MDHIk+HBo0Yu*%_e+ZU774%cWP~um~kcmGf zfLZ|c0>sUTn-SNH{3$EqR>Th?E+Q@>9zt9~Tw-|8bBJ?@FEAQUr8M|6T2mklOilj1 zXKpt?7h4&>)Wh3Z4>31R*ZR=Iqe1s6#^F$+3NNKtPdZEmr|67e4db2hn;r|+kN~r) z4X&6LDv7z)*4H_O=G(%ep45);kR$KezF#8i87r@Vc7@gcbv0KbYB4t|KSdV9&*u=y zU|N{;=1mL+ngi10*OhJ1i{K;wF|)a+!8AVpP24YA?j308J*!BldD@_=h5AW{!#Vxq0S>MrOan!&+>Im)+R#o8M4lDja_&3>|X37tSR|C4= zt6F2;OLhksA9RF#W$gD!(qu>QK*(FZ3ulFf$p?Z`3rBqImF`RLIgYkOZ~`}b<@NFw z;`mM!{<%<#+w@;luk(7`fD}X5@`H?L-eLThqUAZjUIlF50P7*|FflAVZ+74(1OGQ2 z-=x=)^3*{~0sm*bx7!-@Z)qGndzSgsKLc0ddiG{e-m(YAV3A&fQ=Z$ddBp)ow zAjK(Wzh-UD@eL*7!)#7-(s1Hm4q96?m+al@YtDw}&ZcWh{oMw}?~7rK9_ZK^^O=JJ ze6reGvoUr-*a0HKc>reT&P8}NU=DzdE1Oe%fncx2ZuEx6VifZ#nRs2_VOTE9IfgeU z4EtLhyPy@njI@)&nPpQ#6I9ud9~I}^7`qcTW)^HrW7IO!6iuI*5!(~;$xhgUX;Ws! zZ;#*>OD;mnTwEBRgt~4X;$Umt6Ee<0i8+&^>G-|go{(9-B7RR~j>SI582hit;+c;2 zay#vH3Z}Sijv+>SrwacSu>hNf8ADK8GEsp&lbwYdwVYypmJnlmE93b&@XEE>MRSYA>F9Q9i{nLvk7#aWKqI{E4{7vi?Qu#E!WDbt-~C+P6oUJ5*A zuUmK0XUp<|e|FL#`fT(0y$|)q;75f{p{ZYL7Zt>6m0G!${bJ3P3imFrl_%>p!~3_M z)zm6AFfvXH77)Lb-xdTjW;aIKtKmRW8=DU|lJ(rGAd@nP3R zirw;|hYL6?# zf>1Kn*7PM7uMPL-A!0*{*i#b$?`DJZo6g+kbtHfet z35_#6qf935!TWk^Tlqs<9^M$Jen1R7DEd~2H4m*`LoUa8SBOg)ZpF%_f$9wpty#CG zdgF$N9t>1JjI;d3zv5yydU<);!_vO864CR}gZBmQPsPg~+_+}Leg5h-$YXkn;4H!^ z6rNa*a=Td~Tu+$5qMLq|m(Ivw_mJEB78#=%2sh_{huuYi2q{_nfu{MR_n== zeT(wiUvW=uXD#ZjP3?^x>n6nFBTC-acNrPSO=V>Crp)NO{GN>IVCF-==yOkL;?&pL zYA)MSl3#3E^23tJ^Y*!oQS%gwvOEu3+`G@M#cm-6`Fch2pEm~hj`u!HC;tYL45mn+XEdE(1&4|ZRLRvCuQZCj-=UesDSU2m<+YNKrDn9Xd1VVrqO-GkT!`H z@e{~&x|`obYq{NYlcAO(-y9(nP|ZgR-aGLxfxnq+^%}g@j1rFd=q;?T?tHUy_1b<`DCHfHc5s zbBXybz%Kv|fF3|UKqKjyHxu(LfD51zei(sDZuk;GKc*|3J?1L`X@DZYa=;ycoi6-W z9)R}&F~AAHhk)M$Gy)@$7mOxz8W}gkgx}e6!^9%h3)b5Rha5Ug#$|B&?Uw#B2=O5@ zjTQO27(sSN4sOZ_nT^FO@J!T@!PwuJ1jZyVCV?>tj7eZj0%H;wlfak+#w0K%fiVe; zNnlI@V-gsXz?cNaBrqm{F$s)GU`zsI5*U-fm;}ZoFeZU935-c#OafyP7?Z%51jZyV zCV?>tj7eZj0%H;wlfak+#w0K%fiVe;NnlI@V-gsXz?cNaBrqm{F$s)GU`zsI5*U-f Jm;_Q1_;0Du4e|g0 literal 0 HcmV?d00001 diff --git a/flash/demofull.bin b/flash/demofull.bin new file mode 100644 index 0000000000000000000000000000000000000000..b7e636bc9e2b455faf95b1fff887781f3ff5c2e4 GIT binary patch literal 1048576 zcmeI)e|%Kcf&c$==SMOLK_-9{5OF2}oq*Wjj~1(~lMI9eK@e?gt8FI&cB0abR@`8J zI05VivAc-c^+&tKcDsw8>USZUU8$xCYODC8n*rIi+wL-M-36ho14QSB{Fv|i+zD#i z&-eTIejnfOpD%ozx%ZxP?!D(e@4e@qbMB3qDifL4XhIiVYC<1ZxLDy5g-6;4wt?;(OGu0+^Ow)H21{FpWoP-Gl z6)F_QD^x3-t}spEOogbzY=t_7c?$CtE>gHy;gbpt3X2q46qYGmsqkrq&nbLCp;cjx z!ZRw*T1{_JSf{XFVYkZDq3K-;n-zMre6OYtC_JR_eT7FAeys4A!V?O+6uK35EA%Mr zQTVySUWMOkA6ZQgDEvy{KNQ|n_-}=`6@IU9OyOOHL4^?oXQ7T?A>F-UXQ0VM%+`bM z-+lJHCV#}__YUV$PMf*+_L&p=%|oVc;DC9kA@4ZmUh|OCYE#zE^eJU;x%;}!ziZe; zZttn@exdyvyMI073t|7!2fk*GZZQ3Bzwzg;bOUpJ$<;HSI{Kpt?tT-g?+JIC+I=&w z4*QPYe`_gEAl2XoF28ohlShB3JfWtrwq|OcOFOk2)A8Dk8K>^F?DG8f#yz)&qE0#x zZAdR4*nZ2Nj4$fk>O?zkt<1ITKIk4kd(W*^N-ry=x9-V2m-M}BTAfxCt<0ID9e&@@ zhRK0u4nW+d7lYCN{z_im=Oq1E?pXKSDPYS=_WAIiOb_4Dr5c*_jG za-P`#L7H=6;N8sC&%6Ear}^GZYW=EedyEUZ15LS9^bV(PYPRF1=s;%v^>4*#4`ul6y2PcnLkziwjIx#^($#_a02 z{cSwr1cR$yQtsa>_o4ygINs84hU~t}wC|2oG(Xd6$j@;)k|uI~PoHA!dH3h+TuZ3M z*!c9~jLwbOW*%!2Gs-EO}x^<1hZ-`D8Wg?bzFW~HycVs~Rc)A(HSe66u@Y>jxE z$vej8FBaUQ6Lb0PAtt~|2Aagyo9uFlNsZk1!APBL)3 zDws-{R9h-C(6=I#ykW-9JDk`@vi_(&D{mHasZ6xt`kco&+j4aP@Z5WsPH+O2^tFEbk zrfqrNR6Xy8`<`igFu8KZs`iH)L;C_pHS9|MxeeJ1r zzP|R4*ZKO|53i$rw&}{bC6s7Og<5y&9Q(5=9myM$oK=w~llQqMa=gbxpG)1_s#0EX zRqIvBt8@mQz2LsqzIL-a96jhh<#!TW+d31W#MV}O=5;pPGO2hdocEhxzb{taAK34Y z`T7Gnf7F-rMNQ6$hMLlg!`)8X-Kk8QKlPi+w|px@6Z3vwpx-wq(C_T`&+%t#o1EOj zyx;FnHm6j^Tf>QFU0L?7U()W(8P{%(ZuAAS+uEF2fs_6dk8}lcE7z9h&j?IT%??a{ z{l#VH#=nzV8@&3+r>}mk{fR(uAU^xt#NN)$K_{QSC7Ni+XRd4*Fb&m7r)_WBw1jDs zzgPEEYU>;Pc5A-lE$vQSdGAAQ+qyotc4ENPj`MP>F7|SVd)#g>w{Kb7<5yhX?#x}8 zy-(-m{ZAo2wG}%vGn>PvirMNlBaM6WPn#9_gxV->|OND#F`Tm11r~-$`%|e z+sd`JY0V7@nNpl`BOH20hD&1ik0 z-8naytz-U#p|MuJzOrX;0n} zz1L%pqZC_~&9pfwQx`a(>#4!X#n(D1T~*cg$kU5$K5yTqsyEXXU+YWN448>~+x>M; zzpu{8s>WLB^KzQDjmFT|p=`ri zbNr>1OIqzdm*+F8t+cLb81Gl>C=ma6vAb9-niIy%o18Im(`Pcq?{pZoo-&~Ymm1?< zFkCE_n@sUgajFURg}qvDveUOK($b7-^CG+Lc(@M`;pWKeZ% z){V3^cy)e5GThT~$CbOBw#{0v>_Gq6I+{AROm@poWRpA5F_B`lEEhPM@!i+nOupi$w*2os zo#|da(3dp%%99CiO}jlurPMRcb$Y(z`n)~pY-`;C8#Vt!x7hityQeVEx7ntMg4=GH^)izDeRi?(Lg89pWD}76QpBj4i z_>&6bQqQ00ob>sGojQqYXIyK#hEAODCofOUSrSm3f6^n@%~HLaP|QLtZ>TLTZ%nqN z5~)2W8s~4mu2%Qoo@9N`%q6C-IyJdBl!zWNCamk>j6aL{$e+bb{PA&IRzMX7JoqfdbPb3qmzgqv@_&;@B zkVqt-PtA=#uiw|!2TukDY7@`vc^#hf^8S2}snJuhE7Ul(*Qp8X>E~?JovdK%O=qK> z3wOT~)-%mG`EqBo@!vRM);;kbCH&X>Z}iD=TEe+L+_Sb;mHZ4-|7`7cne74ha) z#dhDNe!_Tf|1X%*7M)`0?kN^NQY_sW-*G3I3CFh^TPFWj|6?=9_WiM7zsdragylYiNraQquyPRF~iLf(B<;g!D9IJ)XTt{f@lwfEHo@9y~HyW&9QH3+=|-fop!Y3Z8v&n*n6N@z^`WuV;s?Re{HdT>?_ZgI>${!QD~YpOHmslX zWM$LjRBe1x@~TuI^`pd9N&os+r`D!|skw>TG$s{wU_)YA+L5VBX8j&6>s(_ZFRl4#By_{_d}Kwle#0v|_3Ny7Nxz{Lp)W7b2Ubka z`C_H@p7qvydThOMTJNB{v#eRakC%0Nzc1-`M_K60_P6=V_I}#1b4}exdsj#I9Cf0r zYwsO8acpmw$*WE6^#Z2!T%daNOYVoSmtx`b#e?q6O-{`%dg7RTWZ3bhr>XZkrRP)K z2i;gx(0xL6uh~&7G#0I0a;?eF95(r8)$$h&s^zcelKL3xFVAXn9y9rOPKG0n zmZpBjc`T+UTHlDN2}JE&VARx9>RI&Llg4`{9Xy#)PP@(lot1w*dC>jMBIA|e)gfD= z(}#?nMkZb?+%RGypVBixr^vhRZOhx1%ZV8a>nInXY5D(@za2Z?r<7yiU_sn}cpSWvhRnt~2AC}~!-jmmai-r8~LHDiI z^-Yf5pPumnbNPG4^b>BGxj;)HW1r*p$#0+2PqJEyl-`bO$Xg;R{vw9KHU+ut(m`c-=4O3Y9Lys`40ERX|_}=-Mq?tMpk#AVsCeC z-CCi!TeNmx^fb-g>~=JnsO?{W*{z$_vT)&46Fpr^N!Lt!aoXSN%$}#UG`66)&vWq6DCaD#{bpBGb7#5nY3FMkWy4O5+9XU(ssH)n@IuL% ze8|;vNN2~V?SyqTJ@c%SGY`gRnyuzR6C2E?7ted!O$3@YOX;>%%Ll&uk&h=2y2*{Y z_U6Yu&Dbv6l6p_)^H-xw)TguMd)badeEK5gTsX-tzf+vL+aAYz*`w;8-tXo4W$~iq z%PlrF=cRtsEo6UlDC!;GKBc|jrS0?5qDuSap%yRgh2qDP!CZZ+Vdnf~NL&5e(Vu!b zUoM*1iT=|5A5ibwi7}#EJAAKEAQEhKboD$~R=19$TuT2}hDYUS^NQ)mNySu6W(35-`4pFCOS)x0%s zNaxXG4Cw=j%OHDj%r?;7SM2+9Df@3mawKc*4O^wn`$QQS5e^aG!wTW;4wxcLrzs7Gi z81>H-)NTvwi4#`Fuoe`2aeEB5$D%aP#5|^UrFtf2MX~VNpBj_tzM`eGahz%|UCW9~ zowU!6%!;1F0p0PfKV7WUP$^Z*D@v*H%3P!3E4+C7oLT6_+quh5Iz7Hl-$294ah=l> zmn&>hctv5{W-TjhQFukcm)Wpo`SIe{rpGsIthn3RkY1ecc4}>0JW!)JUyX0mhAsD` zeCLLGP3`&p_jCq!`D$k`U6Y#U^B-7~nmu5GYmzm~&P&Zp%}Pa=2lAH%{&{F%;1?%4 zr`(qK`3c`T^;6!xC-uutU5|h9v&PeU=T=_pbgPF_Is2x>lS2b1u08XSF7;zh`14Ni z+B2>T{ax2w-;ZaG&YRWin`LTi6R&pGCj6T|d>orMS0~hOZ|&Hq*18&cPTVZexzYKt zeTq#AwohT|oX&~8cI0*Wd%d?-$DNGMe_h8G#SLUy^*lYlI^Llca%OXSaYu*FM{Pxg z9f#Q5W#(+?oZMTTs5#;|9d@l6v-yMmfPB23w3D=Bjt$9<Qk9lkmbF~5Q}fF--+V>$ z@~1U_h2|G8Kj_ZblU(FvmwW4c^16d=_|5d9>HUe8(%jzugKm{#Uh8kvp0oW8`aR(N zzR@4o{I9*=gZ-Cj-pTH91ATctXMCwkFP|PCm(mrWaCw`q28CA?#@(o8g)Me^lcoy3 zWMkXD(72mky|FfN)sg3Xjjfe!jmagc4av=^JsM4rbILk9jvZ6>#x+uY+i6rVpCfP`{q{gN~glcIx2#9+SUL?KRupvUT!!#m;jhwnoes z+=K25cbUiHs>jy45k0Ysg`c>Nmv%&HkFPV2El}K-RM(ny8lCg%A6t8uYVw2bJr9`2 znw8@Uwcc#bdsK4EBw~(QF*`TMs{hfD^31yytN9WTDn?egW8I6++ez50^4{X*$d2lW^6S)MY;GDY{9f%_wd16t7`5+8lB?`ATP)nB zxX+CVO&vRqE8Ng7lm9cx4k{Y-Ko25SuXYV^gWe&>k8}8;@VQJ_VUb8 z?yoA;jpaSt%lmERjd*4kcxAuBEBj>S`<%ig<+Vo}_VT8+Z#%AZi9)5@bGWdvuE`$D zi@l}kGh@>|Y9AE~UwhgWJ)=F7Ra&p~JT5bK-4DhP z>|USU{KHTnD&$^sztu_Ma8XDoZpN4V(}Nt>{EN=utvS&fvsU3Ri#=gRq-d>$#K0G zQ_Cf!<eb3VXNJC+kxW?kc6s8wu~OPnlRDYxA8xqWb-o z&c;7vSI>B>YxTKD_B*<>{&}QqXIl5t@Zz!KNsksD9koYhH21wx+oHI`z5Kn*#Y>t# ztM+=apsQV1$s;3=Tyf#;PhGEa)#tCjP;KmVGQFzoSj?FG??&o%Mh}iG&l{EJsmxQ! z3tOE?{W`r9{Nenj6-wJRa?o9{VZPTg{>g}XG+sL?XiDt?otJorY+i7!l5Lk=xM^Uc zzt*YoMeW-AN9?@cYmdJ+V($i%|9dyB7V*iy_-Hbg$~~wU*rr5bZjO^T)-tsrG!2X?EDr3$*+oDcuF0gN>Vx9f!iMsXoJl)uz&2M?S z@wKco%c#Y6i+YbHf1_Kanqkt2tuuVO3VvH$(zM!>I@6OX8_}`fuCcsL`HfyLD!;=0 zpp=h~mGWt?EVh(8#!C5vu~Poz{Zc;SmGUQEDSzUXYS#OuES387KQ3j?5+Z^y)RbiV%jd*U2jcOjcXfSNHziZ;B@@?6)WRu{e@}%Y(V_a{Wk;8Fe16NCUwtKh`wzeVKi?|e`9I(K;n(B0|LQAS z)^vRS=(6HvL-mp9Q);>{eJA&y!GCf3PVc(&$Lk(G=ia`}U)(gk!^uqV_~NF%&F9>^ z?%_Lse0rDD7yOspe=dEezI%$svEuKI-nL02nN_J}sdE$GQP`V`ChVBg)I?aLUAiVU zKkm;)ayxdag|scW#J)Wa)fW$Crs_(5&`CNwRYMu0_H?HEviDoCCOlerXV@DX2~Wv= z;{EuH(e>oTXG~@8q7PcOivPD#e$DM0c6#pA$m#f|zwb0VRJ#oO9-7;{Ybc*z{$Ag$ z=f{1CA7*Mgm%lfv7Hv&tPHOh$_8sg>vuo)1vAeqha~AXlqMN%SxucyLm$?1O#z3#{ zXrs@jmi14o{d~gCseRb6xvMf5@LDySyX>3C&0U!;^+s=9kx-3w>sF21$7e(~J-RW{ z8P7W=l8I=a@6Hd!AM4Z`+RdRvB(r04ot<{vY1^pkE0}8(t~2p?;{@}l`VFVrr;ggE zqwlv*oz7|cE+BJjbwV}R^`}~`rC3vnT{#x3@o3xXa`K~&ZMTnY@BOx|ZSQ*VclYUC zl&RTxx7m_7V&Zyl38NL3vzP&o}LxoVnE;}{RXhlw=FM3WHlU^Jdi;Z|~t)M$e*IrcfQ*!ow z|M;dUO}_JDy^Hekpvliw|Ig%~a09u?t}{+`ihKLaf9f*l6|x`ka-ZJhn|H3H`pWdy zugpG$>y`fjH<;5smcQ2xXsxv~pXf64-phv6s_8jA5FafBp8f1{yEIyGo(*?*s_mt- zvSPHbS$$q7GFrG%)3ByD3|+A_sJBlM=g{XuiKhdEk!d&sA~tkl?L>De6d zmNNQX?#A`I)V)mo_hMnx8!ZbN|JEl|yVxhv6M7#N(sNPo1N1w^l)>&I>$v`-I5+dETx3YwUD)e$%3mEZ?Mir@M{TcFhXv&-d1&v(`1dW+?T^j7Os z|J1f6bj7IGZI9rxXRP^0^u)=0=Dg(OP`MvRubdrgcusM%0RMv--j)Kk7O$-`KKk zZ=2nbWF!@SX0&j*x6Nj6n~S_{HV&Em|0ut0!LK-3Ec6c+3$rwRX{cEEqDF#WYc~1J zNn4(eDd%2y@Q}&-?HG*Q)w*A-Hr6=@-N_pj?)&)_`u$PQ(w{Help8I~RQ_GA)tIKa z$929&3zIeX53W_2pt&Eq?K58N3V$^09dDUeHosRk=;{7-O#_<#g{Jmx?AJ84Z(qNvy~ozYBQg1=(&dpInjTKaZm}(` z(UC|bcAFDP-BuOZaa-_M*w~TsGWC9f$3mL_)aV0)A+uxM1A~?3uzssd>~{0r%bOZC zf)zYALs#IEleSF5DpOObOwmq_Ek)c?>LtT=I$C&ARehNo(-= zla8*8Rf9fV88h9=eWj}+nfyv~-uCX)ODvD5Rj!<0_13-FTer3l z-_5O#?i@On-+3%^r>=kHfBL84?z?sG2QU0S+h z{(jKsx!vsgYR1birRiy!uGUn&NA*FW*sl+k=RZBaN_RlfyL!6^gKAsniteFIw_?9J zb$7AwcF}(6W!pO8oXOSdJ(g`B4HqYbHtF$tjly;Xz4(hywQVNV8MfW@s^V@}zQ$C- zj%ciJJmRmh;~I_04XMj)j2+j|@)kSJq11$LL-M&BYX;K3if^{e+}owE=&syzz0S2p za-3TlZYhoBsD@u>-<(#a>a`8O)=emEROm~E6W+VMx{eQxd35Ml)7|B>D(lAWfAm;o zFnDxP@E57a<7XcU1b(8oc+V#_UU{@3Sl0GIoWJ#~+VVM-`&Q2M9o^ttc+-9HS$ao( zZ+u#!VO6?kyi)d^Wm5tlN^xw;Cv5BsH-3;`$%-t z!=c#BZ2hvBzO9R=W9oU-C+u$|uTHk63-dlF*R(&Q>R)QXEl|5f28o>=nHOcUEp}`v)@eqEZN|_ z2`e9L*N9eTvHjvD-!M`b`I&vEZ#16%Yj1QYtT(oPeOEWGKe+$2oUI#7zJ5s8w>S5n zL*vHg-W@8-m0Cv`$L7fl+IN`tOPs**>hQ(S1dgZY-|tpO{d4AKU(uI%4>yMQJvjZP z*5B&uCtvoJ)c&cjB)2v$==C*i>)M;LTPO}s$-VC8W>>dGcg5TNu|RL6sZ-_Dx23}s zUdnxKbG;Nj-vDBB?ixCA+&?sN;`O^9YOLIUQBq`^jMj$`m-|Gw_*~ljeF0h`&>Jh@wTY1YrL_}JL^JNh>VBp4>3rFmCez*T=1zaPt#VhjWNb`n-Q4VnsjZ9cHZopL zyS8%9Ua8m*)~mR&v`%R&En`b|3nPWigQvcq+T>1h#^qvBXUH@-$EQ|1HA~W=^h+73 zpCdiroXU|u-Ag~VKcX$3bJG6y3|h;P!e_mujrz6k14jyze)@7c zUUPTS)V!S3_hmEEi}jXK@2wF!na{6MmYCZVIX?@@EsS|z2)92eaHY0^*?@L{~^$D)s>VG9y zwVK)=wXRBQEhn1xzI5xYX`P(Yav(N4I1~uj(&#->(U!!Sy=E-ueLP4v;$Rjtu> zQx|RWWk(9n4LP}y!o0C^nEW)?sWth4_N({xIzRW>b^Vic6j!FJ^<9p>M%Fi3rnXo( zUK}YzygZdn4;s^UT>euJ} z2C~cF`|EY*#+P-To5(1(y#G%92Kv>TTXb%G{N{5Lfy~m>3)fe52JX_k0d2|2Zob3$ zw!VAcP~YP{i^kqtWYjOb^F#f@(ir;(eZ~52-#w*%u5VM|o(-M;Ez94#XWiWR_|CcN zJC3Lf-_hP|IX>@|?u+^jt8@jWyrz`p?+w{JO1EX36CZ!~oWwGP4H^H&&8db}flc+Q zukAkGQD6GhKAM%+?jvy|SiAhagWg`Q=)5Sg@JMiS+}qDW{Z7(;?)3I!hxltj;^5n>w43e zl$N}=aB7i6?Xup$jiuUmRQ7$GEvrqn%i(VSJW<;E%7GWozTe;P-Q#ohBB^zNFtXvz_gFw_dhG&k2+N z>&ze0^YwHqYUIr3{jb3(yL@W#Z^}85p+n7`_38R{U+nAq{#Uzi&YAuGIk#t%yKTBr zZ5wuM4Q0P~v1|T(@W$NzZn(O$G164%FBYyHncuEF)iy`V$nOuucG)%j(Z|wG)4-uf z(|;d&sndyFk=>!~m-qW)Em^0g&U?=O<=~>6Q&V;<$UwK zx$~rK*Z!^6elOeBS@(>I{Xy&huHSyIQ!Lyx^46hJj(y7U-`VjkKGl&r<0e+)8#3{& z?(}FNcWVCDTHh|GrZVZPvB&VTS9)VstBw5)h0+#ny&Xna$uQ!S#;IF(Lap#L-b z{dMQ{PuRawrMlX+PtmtK<8}UiyKkqiN@FWexF%esHjjN~OXJ3^i+y^(mQVI5-qcO# zf4kGvRrPBra(82AZ)KD6N9>Z_tI2P2zt+>&6^zw*SF}Gge&R=F*l{KMWd0AGm+9^{ z&Ap+y)7^cV+poE>JF#u+;$LcRoV!wUdo@?)ZqwWgnhR=+wlrO8QQ9l*OpRAa`D$Ly?CtDxFRgaewy3TSd+mo% zyD4k#%|rvQ+dE6&kw;CmSXiU;ReDyx_q5qcdd4Kzxz@}(nVnVk+wqUg zn3S2=S()~$4dAN@<@R-jUFVXku3kA~Uze%1Q-8aedm>w}r*xmYAgVV7QD3e0JzwAY zbx&%l)VE6-U-6!UA1uebW!o~*n+H9&i-nI5m+3eIO+hV}YxyI?wpH<%oWnhv+-gVT z*WP%RZ8w*`ELxs7q2a;>8dFzGE)!`QE_`e>Xf)ETE$qm|{Qd*>{7f0lG^P9jZ~g~v z{75LYquh5Om(n?I{regE+nkDnZs0aEZ|Z^iJL>JX>P{wEdvU5o@iuQJ^``#P#9Y6mb^aZjclDJ|IAFKucwfA$ zC#R|3aWpFQpx@4yY2MpPdC1$!UbPl;sgTNLV-Kr6V9S5npe^&^Kxye#Z)rzhrqYHB z-x|%O`qckOf6gwg8r5IPsO6**+}bh_Ug7W8JA|4RebI7j=2876(Mw6CtY|K6-B(fC zXX%La7h|Qp?ih==ElC}z{tBTKAJ>&~d}GPo%pNZMTk%UrOz5Ed(zaE(FCBR){e=V3 zwu-hI{cXwNE`6Ktf28e^RE@@W>>KxnBYk0W#9tmbdRLiSe#U`FTl^*``dGX(5p8Q; z<(#v#O;3;H+g*{SU+HYy`^L8B%d;2lR!iRrc)f(-!rv9!%l!ue${kSd1)2|OKBRfK z%zq%P`LO1n)_g?s5zV)2KCbz=jaPo3=6#x9P*!%JRD*xl-Px8=%-R`WI&w|u;q2A9 z503B}dxW|+4tF%`2=}HN57-h87gp*^DSIS+<+TT#bJA;V*=>2pWz%a-n{8DG8?tVD zZQS2=bVdChx6^OedqYPy@lTE{ICf+oFP2J|UTaHNI?D9g(ovS{ENR{FW?dWUwf1Oj zSwC0Noh^NvW}mA1o4U5B{`Re-)!e9i$+2~&qn3U8qP`QAQyQxD<)UM2@v^${{io-g zik9HSex*#by`UpNVr<=&= zd%jr23{_;z@u^eNZM)jy)1OLhQoX2h%(yEQI=Z=hQtprAe$@4)lbeqpOU1S2vxf4i z$kcpNXK3oq)~EINbD6HY8b8%*x75+7w|=o)_FjGa8EE?8FGK9pd$^!+r2m`0{22RN z5B<%DQ@uxj`!PXxQKapoQAfWf6>WkY_!)wTX1TV{W( z?AMO=JWsJ_D|XK(`(@giYX0<5Oj(RaW-0-f6&BKM+o4ck{Z?TefS_;jd zm7At*eQMaJw$>Ng%jRi~dDHsG>F@O(Y!4+rlKVpEyvmw+W!Zo4ynIfqcS((NygpE# zi_QyXo#QhD|K3@t*a}@SMq4Wumg|{m`@&n@iSzw)16liQwPk)-W%c#cL_!&-**+aj zq)H*vZPWI9X&ZK4AV=@5T+*lxaZ>e5^tLYf(Uu0yM+Y)dNAY`-&b{Vd<jmdrFLCywjqI?!UfLIqlzqc{$aOwNocw<%H@^YCBQ=y>Lfze9QPHm0C+{x7xKU zw032)p1NAHZ~1hD3w-fn@x9S-y_prdRBzifZf3u)%fwB6NauQ@EUIM@&@`;6{uW&0 zdR4s>jwkh(BWYDK;qGxuE1RNvf8K7QTC(jgoBj=@cbciWz)};l>FLG&j)_dxH8e}t zSGe2e@ariNj@vo2)XCX+o3mK>g1)a0_bmD9`mf#Aw(6!x+gBpZS4Gx-b@dwa;kc%& zBFk*tRaY--TXpMK*WA2j)or(a^($?wzNWtXsekk5H|i)qyqvJh%`FQfiLZX;hPE%4 z=3BmU+nQT%XkE2NX||p+>WlbNjz(e=scS-U<2#x$YSGO;yU97H%D#u(GO(zuze>~F zXMD`Qi+tTVXJFB!><0Dr?R~n^4ZQNDBhP=Ux%btDnm_tK3*R|Zm0CTu;!OR0{k8L^ zJ>FR8|I)6>gU(InoM7%xQ_Oj7Sq`s)LWruIJGIJ4L8(c9b1nz-~E?hduAUpQla`Ojb;6zGb>Y9 zPE{@5^k}1-RbR6=B#(N9Nv{-(sj||y4O%q+F{ZdlRsC$Uxe0 zlKy6m(lr}hXPvs1DlXIiH=$8Airz-rzxyZ_ex`QaYhb~s9}ub5x4;Pj5!cdiRP;O{8wtGF~+tZ;0`bP?w3=j!GS_${>z_=f-j2q1s}0tg_000IagfB*srAbh($ literal 0 HcmV?d00001 diff --git a/flash/flash.exe b/flash/flash.exe new file mode 100644 index 0000000000000000000000000000000000000000..76b45e2ed33ce50373c7287814b4584acc5670ee GIT binary patch literal 91843 zcmeFa3w%|@wLd<4pPl5Ka3BE!1VuO@i3E9thY|(! zy}$ea|L^~Pnh*QznKf&zS+i!%Yt8IoB>$HWX{lwnR3)j+x)kBKK;Jso(HbQA}iLnw4goq`};D6F@j6O+3J>MmP7&uXEYgeSEx4Qki%Hi9 z@DM*LkL!=|7G27aRY`aq!9)048D#%3-evPSzNi+jV|Yj>x8QO8G2Y^Z40(e1gi&b9 z_jMN%fl0oC#SDCi^jrlz((_RU**}bTxh%&K!ds{Cer2O@0D38!H-G*Dio8uf9l#^K zoS}&G$Nc6mU;!VS0C*4ZCgS}^2ZuruZy_r>lK^`ZzjVA^e}w0X{!PKUzIS?0X7(OG zb;!#3`na%&UvrHRhe~otP4s4aLK;Qm(GN+h`H-{~ACk5NX@_4N)PGVQWVojT!aQ9i zMBfX&C#{|T#vM~^Jc;ymB=u$F#P=Yz_oRR4f!J7 zrSL})KKvr5=N*ciCWZHI51O~8?mLIS69RSJAMqawbB**z()+o3c7n9e%83U-z4JhK zHK7nH(I$0I0AB9_t3Ms!zA6iBDXBkly7z$Z;4#yuxcE~6)s;=$zFP*YI)7hL`p|Ma zt52(}_978kUCdd5kg~G6r;eD_Pzp;w6yZD#m8TTP&oI+1M|y%BS86$eT>Vl#$4~X1 z%#V*p5=5R&0^prTO6rdU2dXT{)f+eZYIFR3FqVOmoD3?`!+$wMyIQ-5m=;w?XvlFvii4$fpVg`sW?XS%Vfx#3lw`TUKV$L@Y`R1MLz6RpF zO7hKyd_$%E?Dhl3@3@3 z#vdY@3#Ix{b|nG}7oO55OU5~*^&>)E&MPR?St_cE8XQ%GrH3MKkmg`-hr*d3WP~X+ zQ1=EGGf24%$UJrFE`s1>jHm$7i3->Z5oNO;0vp}(1|lbkwiSsdzD)4Q5lmt5;_-^~ zB?jt_ppLni3pfkX7@71GVmrxZdyj|habi0TiT!oQ`;o~HXCCZ(xi50Gzb@V%Ii;h= z`Xk479@v)AA2~|x2g#9RN#UY2(HB9|QCRUUU3U52Wp=ZdoEBWud$L6JaQ$nM9qb@I?dg{(z^xZ{0F_KZ5lA(e>YYLFzBM`N z{cF?l3ig$wfh%3C`ZG0t@Zlzp5Eb}Zv~9?u`p@ZT#l737h}cd2YuOmX8QwgDAD(As zVxDiERe1)fW>ua)gCI_xUxUOfR7zk3 zu=d?VnJPw-sSGmd%%==g!ICi+OyO3idgp*GWbaEQA6u&jA@rQchUy@l-u;mblr)IG z053FkceyWyu65%;N^@W2^?}G+F2&q|nTZ^$Q`iuO>eHTy{Hl3buN6~(DgaJ4FDqRf z8!JO4i*?ea&Ar=S7Ye>4^16m!q!GIVYG@luLBM2TPbYRXr%=k?1OC3qNo9N}m_Gzu zyw#w}?e9H^ie}LtmNoUL z2C%;_UBkNp2Mv^Zy6**F-O1aw2YZlhcgnsVG^-)mc6OQWJP6+wB~?Y7Do}kZ7dfvF zrE$t0Pv#QEsTQf|loOJv$$Ssh*cU+`ahyx|UT@?$<ILw#Ocs$3`Bg9KTwgp$bYPE$!fKuMG&DC;RLkgKde z0>_b3hD=G?5w!_rMq(ZW^r^9(^ zPahcB<`ZS51`adOwb;W^u7Dq-yxQg=E6j>)9t{AI>3_fAQ(S`6go_2gG-MZtA}3M(FbBH>bD6`-Tz@cCbS%;w zR4;=_$Zn5_JS-z@(cB%5WX9iQJs$7fe%#d^b}V)Dm^XrWu8zVb*gO{OB&24+-tUc^ zgq_Mrii~`?EElsZ@!summn_GZII{ew>+~L3Nwi!Z&ju~eC zEoS%-E6p*(oisyO>yl-)oFTmKZ5f`f5aaUeBXs3KmQA)@*|#_|1T+yHam^6Uj^eQt zc_qvcI^o~L)By?3ko8x(qUrCGW@KBpd;T2-==mp6QRU12Eg8Wy7IU}ba?GVEoEmfOu20Tq zPLW9U|KV84SUq&T2*2ow9|DWZaLiMNWRyY8rH5uhih+!skd-h=cr^*T2`Yxh`&VLC z^=T3cE045WekhS>NDPC7vcFa$_7#Plv=WtesZJ|#(%=DMy2(0?^oWd!XSquIGdx>V z-9_b2T9r!sg-)wNT7S=M)D11o?j)D3gB@1b{|ngKW*x;(p47{FsAW zxDm+As77msS z!QUZ+r9;zou$)@BgjNk969i%JNBe?*qmIu%t-Inq?o&n_S(Dv5PS-l7l^a>SYJC@S z+5R5If9yAo;_Yti+Y9Y(%&T?ZWYvw;+;*}Sy#y?VRF7^;zA*5dI|oOWj2+{bm9rXc zE&e(1q#n-7$pJY2X^x`%jKeAN-6V3497pJRgwUe>L_#f_IM75MZLbs*ltkoC5<9!* zAPHDBy&j~7jqoAt!-OU9IDFv*=_x=G2?+fX!{1_0i8dP!#OB0(#34-PLXIInW*?jf zb(fGh4+auH0`KaH5aa{7$2IqL=7Xu`(cbMx#Ww0o8;M{G5qtwhP&3e>#r=^Z{dFe~ zzGWIm;C95+)Gi_S$X`WWQ14xoNm+#9p}uvD8gQ3Hj^2C_tr$~41k-k`0$U(qoePms zI;Nj11wnyGhEI?T?*nvV4v?`#hkCz>@(e_tb5U+@^F}#jJFk^eqXX~8FaTYVWOnM6x=gQ=BPp$KHuHG>gEy$w zv%pp55{x02e+Tl3QFbl^b+2jx&&^}iD0N;+$d3^6n`prOkynv_N zIn0rCVtuHmgd$<)aHyx0BB#zxg?m-zm`CZt(mL(dYeD3^U+>G{jmTzbVWp+OmE$c@7d7VXYfs0QDJqoEH7KqCwD+YP?dkT%zXz*@`G*H0!L+B-!D|=&z*i1H?*Sw2 zX|anoxdy6C2AB@O#{eG#hOX3+VJut}l7)*Wv0!>NAwyqJ4)oLUOQlp(2O_5vrGg7t zau}L|RE~J_1O;T)90xEQfZ=hpDipH<8TiP5hK(-F+NrFkd0&9aDif%=P5R zg83Nc)371TtbwEA!x?&sB|~o}#87j?xTR%=q4}u;CxZ)x%rNv%_VqdL4rrd=FLH5U z$u@xuAh4aWh3Hh9g}UeM2MsoUShY%mQ$WITK?Ds zU+w$t_lY3;`~+`e2{E+ZA<=o9g45QMhohFSg2W-vc|3o9f}22~D-whx(T_3MqYuea zQIn@#obqj%qBf&k8-=|m^MLKHRGcGVk^o3XlTziz)<6{yd54wGh0I8h%T@Vrp?4b6 zrt}hY6aHRt0LV|#U5}_B}){pL1`1U{pXQ{u021aG#4YtL0yJco~`u>=O;gc1(Gg?lH&>V#%h8+ z5bvt-?ax$@^N2|ud4 z;I7Q~T8<)tV*LetRLw*{g*{={6A`{Xh+$`!`)t#DA%S z`VPFEl0P3b8iu;YL4DRiEtN8Ox%N1ycR8qPeDs5IGN0Z1U_Iy7&=jFxTm zH?on)B}9V#ehF457y9<>MuepxBmO}axgJiBM=A}M#IU(l(boQ;Yb%oc zsE&xg7FxtiDWaO7pgZEFz&+57l;B`I6X&Pix)p}lOb4h=AB<-Z07t6;peZFFR^JQF zx4m^v!7!tTG!kW3RkFMOf9a_I9r)KtPSIaQGp1qn|7QpFSqGKQ`V2!Ix7jwpyBySz zMwRdQR;Qs+lG<;U$Hi2=^hM6d6si?2KcP{b$Y}*4vpI57qRrt*9EZtrPm$(cIQT0R zp3l^s?LC%eJJ8SM9zgQJcrsOUklj^hNJCd~%#Ud@ETZp)QFU2^{& zIOVubxzkBm#VPjo`=d_EQcgLkY4$rQ(rM20Nj-r#A z!B{J?S1%_MGXAQQaqf9D{_NBdGadxk&N%O{Wz3UhyTFH>e4jjJ*LnVVn7CWqafhdu*A236cV1AkH3mlkyJ~(6w~pcAS&y?cjt)s!3znMJD=<2O7I=c ziPYIco2EEndgr~&Qg_44dJv5Tmfc3LoFUS<^yd?tRCC%<%^SZ)ejl|lh=eeL+ibgi znlNA}iU+ANZjfBg4!|TurR*#l#PJ_o06SAVX{*rkX_#;Xei0N5u(uY!<%O8HETHtK z)V6T{{S=7zfhoBiVmRZfJabEs8FfXsAW8e>@HaN(!OG;72tE1xm7MnXm?EmY1DfF?Avkl#L@_xdM$c-HkAjUNf z@y-Ds<6iIfQ|G#8#t$L}{mF?hx9ZhOqGyo8rh4M%ARdSe%ASkIz(jRx|&P3HTp9Lps_G|Om3mwK;QJMf?x8w2`He(V%p;;Kt_Ku%$p!zhQe zlh_*SJ$6s^U?UrXa}r3+N)K0v5z~qP4l& z-dNzeDqw&rpc*LBh~7b^vJ~XdUlK{*JSQIU(vz#mMgI`dj|0ky2bmN{z0yI~H77pW zOP64CPZHfvCW5X}($QgE^qKztU6eJ;K>G*-mVx@16Mr74u2Lj$+fUr?AZ`A zLps|yV$E)%>|iKtGB`kT5|j0lY$~TlG?ja>c)JbRa&GY@kyBfG)mZDDQ_fNKdP)3A zG$oft#(N9&HWlb5pztwnDvdIXXL&`fo04rxFx&%B9o4J}KL@OjtY{k;=yNzT<4a_Y zBlJS^ks=M~Z4yJO@5Iv_c4=_HGM7X$He;y*rDf=kC7{NW*u1vu3Jol-8yG=9L~B2m z%SiS$@Vr+xK5hZOL;r>5cKMOmCGp!R?2JE<)?N7@kgh6#GIW7m1*afr+9$6>%Try4 z+F`ksIC**^&OC!!zN|3zcXTjXM%pt+WINW49(GN7y&rNrE&8@5Kl1tDw(b6NR|&C2 z9pW#e_^ljA#fYKHDgHdiX@D7;N%35fRTuBHoi9KHRoIVW zLZE_|o!|~pPUVR7FPNqDp{GMf3(Hej6(rD$@mH~@NtK2VR9q&Pep8so@9$8md*Kkj zly>-~eh&`W!J{Yb;P2vgFymd$qLs6-wm*@QW$>-@^124uoVXX_cx?B=?p26WmA%SMkb{rGbQH7)?ZGSV!e7~mT~52X)1m$f zsE6joFGhh~T`MZa-L>|j!s72^wC37UU*GiV1-{&`BdKJ^z*3EKr0Qg;82Vc$nCi%o z4cvXCdOgoW83v?V*wvq00|c52&5Lh?BrajGv~>%64+*>678WzoAo*WU)4)%TBPS=- z`MLUr?sSY33vUk7;D^3n&|a>q@3@fD*U9ueN}o;X^6bFdm{XBdYO(Q6h(S6z3b0h$ zb-=Yv8^esf855xLL{9NAgWPE5lrYjPm7QY$pqy2)dkkmlPW4f*?%BG>m(nK*q(*OL z4eCS63b^)2g=(gHi9I)=QCIidyZLDJX+?4^VtS;c1>HJjYL~;X;-_eHm^}Fzkk{S4 ze^(X$=W_R0jVWo*oO0ClElKNM)V-~!9+y=8J#^q>NhG*=LHtvUO;clD`iwMvtpSSc zP1drv8J}had8!iZS`c6ErH8`ZCd1R%@izTM4t)?4K7aop2|@urRRgA%AB0T*LHo?k zbR>Z%PiCJ7ij?WFoQ|RA*>Qkov>6timP%yIZhmy?#RwmalXr$^_8ACcRvKSU`}Jh0eBxWK-`J99l12S^sVdPN-q?pu z(y702j}J5{C80j1G03{~P~g(upws1EjKL6&2DB_HecC5iU`nKlHV>s$!HPF|7V|JR zt;x%wRNSfrdJy0fpW+3Dt0$V3wliDKY!YTMOOJZT6xS@~1KHy$0zHcvb$){HfbNTrRPGbx_Pl>8MydWp{-ah~@T_T#!EQp__&cSK*3GH=&N^}?~vP7@Jn6=gZ z2`o|Lw$*Orh~1D+!+6OfC);*kK6V$x3wOmy8Si!Ia#+KP^#*Y9_R3>FkVn&?}4ydAVZ_}0-44>>OaoFq*U+_ z+6${aeSiiU(m(Y&bc`V#Cx_|Gy5B1+IYy{!#=L`T3tjUK)bl#?j-#s58?~TA*Q(t1 zBV*J=7^K`AkwXeozgdc24XqO}zjey_i9MDNn{fOL-GrR8{R{PAj%r8p zU)^ozG9ObLawlL?0afS~xhh5%stZd`H9z?VuMwpq1k;mPe`hzjl_p^Z`6sGqOG|U&TXI$6SP?qkN4Tal55`Si1!vkGqSFQ$H&S5;wA-We{y7h2 ziYp`oYLgQR)KwDpv_4`A5_CqpQuj`a{`P=yyEjL!N!;^aED+zjL38as)AU1KM4 zruBZv&Rr%6te7;jppPtp!*9nE1YY|{{2b-y2s9Zh0dCR&OM!MtdMDQlyH~i_OHFb0 zr7-(F5VN{}?Vk9fBXj5>+1codLLEqSJnFs^?pjd52*Js^?ppfA5rgp{DxxqkIyorNwc~M zLJFJ&(ea7Jxe2owSKoa_Z{V+WZo~TfK#+>b?UuA zy>rz24)v~8@73ykzk1)J-b>XxU%d;|yF|UWs&|`ucc}M+{6_g{-GxhDg8kio?9x!@ z5}$~kVCPT!4yNtc57q5m1k{~}4;5RFA@NYL|F=GR1u=CLeZ}eh5pk%u2Vwd<)Vl+} zzot;}?7{jlUilC5DKcsJL|SV+1eX!>_8R_*Hv=UpZ3<%Xg?aGjk0gO*zBh z(6MzCD~aQkw&Nh!_g}kp=f9=xAoua!5NNPtxs%4py*(Wq*)a~SVebwV`5hHwmx{bW zkvml6If@Lb2(^d)OYfoB@6&elP;B)56g!-@V?7~0s1R3BgmUULIgh>~i`n-T`I&QH zQIMJT6{YVbc6~(|dx;UgEMgvgMN{;SSP?68kwEI9;(|wL^C8TeB`|ir;1>hmx|q@qg}KuDC#X6MbLI7Y z?@*Yl?@&(sG)OTavp+Z@Ggs1v&p!+mi5MHvs1 z0#9`5c>2RsTPNytJoqqG<%t3v_diVaeBweKw;m=VIPn+ki4Z^YVXC$hZy~DMKO9VZ zO9!D|bkchZWWd^+M*;L5{ZMJ|$sjJLBU7XM>Cjx@CC3Mcm=og*Mk8&iSG*8pXNw+OX+Q6B$#Lg_z87OZ*}GchGak@GNfg7p>Dz#f{Xia$--9^Qqi7xVVJ-_PzDI=_@c+P1EF&z;>0xnnYM8ly zVp>?dG$kxjLSb=TR#<%cqOdqJIV|o3PTK`xQFLKg+?pL0f6fhy`Wa#I6!0>EzfjRL z6W0$__5aCV!?u=|hK6X@ocVJb+uB4l+S%07+7)Z+j5gzr*`{b~d-Ep2NY~GquW+v3 z9IaMZ#clOnT}@q}Yit^cQgp@ITH9~fJU`U1Y2(KF_QnvRO;?2G&T9tchR`QEo9Y{H z-qP6`YuX-~*VwdqURTTNP)B`iL#V#7u`{%^tM#U)D^WnjXTjgXXBatUA~+M#+P=BI zt+mm^hV(}_=|aSg*0!d`Q1hnFPPsHrVS3FfVuuVjceGp8sQAa7=9*|N|a zCylbQf#BQLy1u(>eYC54{l?Z_mEJ9&Cnd&W%U~f(DvfTe>^zV<=E8`?K*X-8I_ zO|6YrgmPgjp181P0+h!;sN81?n|ifZeUleKGxl(3ykDY+onx7 zP{!RIN^jcep|!49XfveHf3is}LJMo!+R@Yy;}*7PE9zfrJk+6(HKLuM+Yu+AMz4?6 zJB>>h9>+)ENua zhluk$)EZi~GBuRr@1SQ9T(oZG$!>UK)5e7hqy{6@^<5DvL5+mkn%Y~?d25L_)NgKr zIKW(w-UTB-OZ!H2w^xK_q1CM47HgX8tr+UYqb}AX=3AgD&Yj4FXhbxQs)}_dOPP^2 zLUs(LW;|2zRprs+DsQC7Yh z{)$jzb2l}>k<+LRhVs}PVCtwh$C$iaHe%Sf&50WA6(QACWTm2hsVTvJ$+)ke+v(e3 z(!<~z>f2&Xjl;l-PpY5`(xa$H7#=DK0~Jg%l$*a`t4K{1+^gqyso^(OG*fPR;KgvZ zvA#pbRu)!8mlu|mM@ndr>xedEoN@4Yk`ddq3GHr6s^Hirv<`@j;@OECT3a@xqAz5- zX=;>H6H*W+C#j-gLsP>I34FPpkxkaJ5L<-Agx({a^rod8rqubJ41P-5!4T^-Qe`jmh&L!K3tBCs2^#BZJX*b zXN$%*MY}N5b5x?y-Ch1iXo9w!XKZu0^jG9=r6z_~swhTfmz7YLUyOc|LrQlfY&{IN zE!Nu6)0&k)MD-J71WnLOlblmU35iN~(^k8B1xY}9%k93QDAce4LLwN# zbcnc;-u}AAQqQBPQ~^#b7`pq`SPT~D;!D#JC70BgqbXR4H^nQnRP*}oW=!~otC>^f zm_Hlsr5g}{=Geu`!6W{TSx$cO+d`gLton4=Q#$1wx=j{#S zPZ?={Ix_xLMw;;2&Lgehlf4kv=m46xk z_XuE_c=TT*U?*L14X5`R!2MqmA_Gqjo_suEJmq*+<7vdxhNlP5J$N3$^Aw(acn;us z70*#TaXhE-h=+v;;>pC5gJ(9L0z4&ns_?AAvjI;G5B=SY_x*Ujh392FaXe@6WWZgR zkEaSx49_5*eRz)IIf*BTvQNRY6c7Ea#=A|0|0kAd5nLizzjZcY=0)XQf;g?QDg3mE z!q*aQ=#1ru^AW$;i1PI1vPD8{GNP>;JG!wF>4@%m!Yn z0W8M&cx@$C_~5A7f_1!FVUk0qOv88*jY$RYKklRK@sI?F<3qiUPNX*@{5Hbfu`cnh zuNkuAGAc{DJCG#SS||~FA(|WOw+ZoQYojAW5@yQ{8#@Rujl&(l5Mn%qu^^&wLDI(V zb`*xftx0y4;$RYIDSniMxe~2Ek^Vq(SFBU<+e2YY%HY=!-=T19Q#X~vpVHmc*3`uO zCZ%k)3(=UugR7V}@}f3Ox}2fY5>~?D0)cBu>{T+Btc)(^Y1l}scMx5w(`k_jlFN0R z)aZ6g0C$NeP5XpoJ`tTZZ1GQ$32}`(U3ohkZ-&HKIRXxOL@0HwI}NJDoC?xuZ%DMh ztD&_uJpVG$r13mnsHpNm$$VNg4$YAu{tY_racxBF8)9&AfaFGSH4(VS5RP7yXsc(&mx^alxe*Nn*yfn(MC*Dec%atg*vqT5Jb8_cnrxl1l zCnPDC54%gnT@$@@%3CU?poDII((5ChNC3P1v!XE5OM)qq%eO23H8Gjp!^&+dN+)}X z+1)CBoB$;!m}o*fa*Kxby7-R-Sc30aY`idm#&!gXv1p4Pc9f)DePZ88sc_witvC+m zCX))Ml$letACBjBQB=FQ52oP8USHpE1L~X2{2M+p80rh2$r55dJOw2Jt`kvGBK|MD zB;#&O;?fXnrIPrcG@E>KH@-aSgd)Nb5 zYZta`F#DIzQSpX@#wHy=vr=T9*0~CBkgjiSfw8pKw~PA$u&W!@|2v0}+*b85u^7!v zv!WbHUt*&Jje9Z->yhJY=k1V3s>m~kz1guvYy4&hwP0{6x zqFwC%#BQ0m3hl;8l5p}6ipP+kGEH<2ig{>LBczd6T67?7nA1+Y45$>%>-QAja~ff@ z^5l}AQ13> zMcqnKg?X@AUZbn(*aSw=##S6tpbc*Ekdvy{#nITdjwZ1b#ZhF#R=wh%pjy3TmnR0q zeg`D+#Sao8=ws>Ng*fIUNGHP;I1{PZ*+Qt%WFpQ$s-)JgO&C`&I@8{@UOReb{AhbaUFLT%JhUm~I65`2QG z5M-|6Pk_`E*OWz9G_~VgLqoL0R(nx8DvQphL>ppT$zVh=Ta2D-tRgdswzPLQL^oqk zj!swH0gw(qfUq)_rKsxYrsn3$7D1kJifA+1r3?b_`&6(CJ6Ga+h-jRjAl$AD`~c7u|a?)Z*I z5pQUZvCN;4$%q2CpHsVA8=1$0h#?I4;|y<6@MjT281O?3Z*AYitlmHjVZh%(h;~U4 zd>=s`YPZ4EshRJ<+f(=V13;4ioP3FHTo}d72fT$q14snM#AHBKEvuW&J-*>d>|avRQnY;?gE_;O*U{9-Tc6@WOgLR}u%kcfYMjyU z6R5`4tp(`uy4&e!StE`Rbcv~GZVavO#IYC+eFjk7XWR_CZtiYxP(D%S{Qr}mGf)|7 z9DkOvqM|NT99lH@(zy$TLCe6o4PqXgI(!6ZUc)@L0{l_~nA_4ClV{`vxeTUdgqew{ z%+`EuE#f{a1sl~k%YZ#;^rOOOBsXlR@1(bas;J*alK?ZRYXcq7U?MX`Mr<60T1ou! zC$-VZEB=vy6bIm;uq>Y?ktMyv^PnVI8zFU)Mc-K@Q_!?WK;Psq={UDF-xMlfO0Bj2 z5g_|Jh1Ea-Gh6uS{F<;DY0NaU!+v#gO;}A5QsQ@xtqH4H0!#g!TZGjjBjt!J5Y`46 zs}cbU(5RbAd8P@Inx)lxC1v1gnbr+Vq8eOGSi5M%9i^KD{TCY69vYbax|_E4-h@U) zQtMutC)C!Lenq9tw)_oIOqGT8Xb+?L{Wr?^R|Ywrhd8#`h4t7z;9J>`?Me2dov(*m zT3BC|uqOc1Ybomq641(iieU24lCYknsfLyPEse*$kM%W4y$?{?FRaG~ys)05S%;Oq z-v*Px32Q&iT&(N^Hn^ju>n34+TY_H#xN94hAI#zf$>L=Nlnh>!n6DBLYX^mTSfU=$ zP>K7B#C?5FvIr)2LFLw`e~SLmFWnHn^8m!MqK#1y&4loMq9tp_- z1AAF%-5XGqE*BiqUt`@Tp|kxqv_M#&mB4&SZ#yBZ`!8k|izJ-w_;W=JDp2$c`~1fl zwiFV=PhveFr3w2zYf9_E7-N@*4iObsu|N<(C{L83}Rvd%FIV>YLe$S$UY0J>5@(+rA`uv!@US~1C@ zmKfN9Bx+3Dwx`MX!-%I-TR(RfGWS_lGX_O;pMI-xQ}=pI34=Uy&M-zzM)&P++=SCy zSl$|=iH58VGl_Pbjuyt4@nAshn2r@mP?`k!{WNPAMmm|enH}`AZ5w0Bw$1ExF}Ax4 z$6SPwVNm}*h==~h(Y6m~Zd|~nqJ*!H3yT6I(&zf)Hwohc3Yfda`1%G!sO;u$Ykd7? zMEW?zpV2CeX)NsSHYkGD0!h|r2r_}k9bsI}%!x6LEVP5h%&wNq5COBRB{M6dt4$c? zRLy2=b_ViaN&L)MMh2$K!l+=HSl+}x zDT?VCanjSYL`!zBzmoh0qv*+Tawc(chSKE8U)FIRN|UFMu2S@3%%3v$AgaV4+e}^< zkur{!ePq-C&?--)jHeYJjC!P&5zuoo%il#yxzts)(a6fG+UsPnqOQDL7z8YX_l)0* zgpc0Ui-g7R0+B@T;_E~*y-NyfBO--DMK!h6!q2d>nySLu;!+WySfskTvKnXp2&J;J zT#TX+#3(DQs1-qq)Ky$lQTdSyF`6PJk>awIh2>%lMat%jbjCnntPCs=<78lw7|$pL zB0~ZS!~_O_?L-MdXcC21RhE^AObV4&M{4TIYsF-WR6*biC{Ri z@DvKy)kLaGBFoDvA|>J?0+d%#Ij73tM<_gv!qt)D%IXr4L*cRt$vc-Kbvkx2#g^kF zV{O^Wh&aHiFDkDr5(ha5PLaZu;sq6{tS(#;5ih!uA|=tvqN^jtwc;fk$ASF|!-${8 z`YuC?my1I(P+kKiye!d>S(NiW$HK1ySJY8Yh(wfy?@fAXtkun)wSy*!oa3ts2>#8CIKvV?O@_7Wmfn~fyu7lL_vZA`0qG+U| zq^gqiR#qX9bt1H~t`;pqv$NOf>}arz_sQ3wX=kb%E*Ui8;QA8V6H!ZE6XQ0OWCYhYG(t1N*lCc@#>_SenLd`_Pk4l}OJQ0XUemo^ zCEcNtl5`UG*khX-Hepy8R7uIf^(*VQRT%Fg~M_Qi83Gt59V)ypEF-32!}_1jv$YiKOqQ* z)3iPE(G<&g(j?E3uG^Zr;^Ih6jRW9*ELv76Zq+CXQn9MAysRWzRalMody6-*P%_!> zg;rFen_E>@91%AsCZLO`tg9#ypYSH2SzIS>^1`Sep{B6S3$9!hsa{@Q`4O?z3$H4x ziij;=nj(z|kZ=~*HG?mCRX80a}A18eVv7gCd>Q=BoY(aaO?UVhC zmHstKpXcLwM|M(>J5FPPiYKSQ7 zU}k5gFQ;gQ?>CG*B`EimgmJAei+iz95PL{bN0pGWPO-`fI$-WUg|XVV7o{_^^Pm87 z2ahLPdJ3QnQnQ#)8(2RPt|oXJ0u2`aNBCyv$S4C2L zybXabN%d)OL*UUAM$_Jgz*i=5TzeY=k5S!ty$yk{N|@W*5O{(F^m-ctPtx#`=xqpm zO;RU#8v@VKuJkZ(LtsC8O@I@;4S{buc8smZTHU#?DAVTU*^fmNa!K+Wrvi4n1NTX&$JG${tOO>y z8Upv<%Pd^3hQQ~(!63J*A@KR{GfcS}0uM-O5?l>|2j6Baud5;O1&LgWzH1fI$I%a( zE^Uq^SyVA&4HAywi$QCgZd{b3r{4*aeZI7|3oM zi-3v0L%Lt}>a-`5I*A};dvrKR?Odg1Qg2P}ht8%OG&5lWx*iqxr+e2TweVRHt2xX?pY2Z8?O_n)|_L@>_Rzyoy^R$|0GH%H7>dKWgyTQa=TzC;Au*|F|Xvj^8 zQOL}p2oxcv(A`@XDILN8(gxRQ8@5K(H`cK%d}020nDcYN|Vxm9kq*LZXd3qBWfG}$}+Jkvi;yv7jRgg+;H z4FX9PSx^pqE?lB$}s~abVMHSf5*)z!1EzEq90D@`e`I5Pni)mVCOqlZrfNt(?%*D6E!dxI@ zYjPV~o12BXP)2K~Q{9@2C~I?fRj%B6H7}*zSd?B@b9XSS zQzAqqLOS9SAu16va^dq8X1(OsHse#1!Xg=&=c17?=WQf{xf?rn-0;&8 zWRrztq*0J+5#I?Twh@Wu?($sP%tn9~!RGFd=4zLk*(G0uoG zq1!C-vE@~p!R8{&$CVjpVVfT@+J=U|GK=;#%*T0(v)iA`cJ)uv#5d%kTM_10i33?t zt1zF?nP*e!y^YA0@Y@FEO}A)lK>ly({J*X8mw9|gHKXZ;RNv3bJXDScRF2cDDD5EG zmbrWNbUwoKf|PU}N%NwVW=(=1wu7Edj{U&U*Lm!LFm&pY+w>2#GT1w8{y=h7+W3L2 zgEdmpfAvUu#3ShsUAlQqO0({K(i8~uX9nFXLidQ!o;02FWMO{h73OCiVSeTj=1q?< zKlcc8%q7fQS{QuPqHK{nI{6K<+-NaRvaygjbQMZE-1Kz1ltnt}sjOeCT%|$$M&&B2 z?zbveSy`t%mGz#If-37jMy@QqWoG`_AR*`;mQn~p$5~mBKYIlEi${=iN{~y~hJ>Lc zxrEKe;64d3!yRNGfaVN8>do+DIzw42YjlQE8Ed_@@^Npi zM0NQ|8SBndE4+hfZZr&%gl;sV<12LXnx)z3m8RV*%_grj9bRc}^h(p|mZs}G($M~^ z*=raih&dgD5rm;5-z-e8SC}1MVRm|j`IJ|f+r7f9bleX|eaajpTDG>)}RXZ!4RR8V2QfYj#+Kkt-cz=UgAvxlWa1 z(W8dy|EKcM{HXN6?k<;o&X=`N(vZHQg_1Hp=GE5YO2##0Isc?}HO!FR=jYA+hCw3I z#aHwnL(+MA7IVKXCbq6S1n_9Bh8cvE>)T2$)%ktL7KHoe=T&r_)ZGDZEgn=QW-(t- zVz!MWCheM=ZyJVuEf*xDgZ(Vwn~sFMJOU#17Z7o(6!}dhvR{h)bFV6oc~$ufy97L( zyro5+g~`*~O5j@ATOC(X?l0a^nI?`ca#q3x2$)9wjIdaq7Z!08fWANA0t8Go*pa-# z44B#wXt9T=ERB*r)$|NLqf9jzN<#>$Xrom4Xj6^LQs6O)GYg!i_^uIi3^i4t4+Hr# z2=h{tl}e)0-fsvq&Q5G6PsL=9Prm>`_S7^a3iC2mf^|~Ei%mHet(m2k6$L7bdqlxcL{e39=JFtx}aV@0l|IpJ!bX7Ej~(I7FGHZl5xn%&my}c7Jm_M5CE_vq@8N z0B$7Xv?zjkCz(D!LaJ{lHlA+woqWYo-SgCIT&=PkQiaVJQ-eVB9y z;FdbPX6jR=X7ehN+ureioRAVuyn)Dl(#1O%QchW7% z0*!ql&a{uaS~)&40oTs|St;`Ho&RL(Wh5nARPbc07i1|EbPfUJ1LWs}t#n4#pVURi zWCLo?J}I_~GRkH^JMGygbrS*Y*}F~-(D7SSAE!+^{7cHfIW#~5xDO53$?DJmshj1| zM{852(Yakyo~}*Fp_9I-VYDA6NSVEc0EpsvM#>yIeQP?$Y*QAne4dlGDFv)9dG?lw zE~mq|V5!gBrj#*DdE_?bYC4QdCZ$f@rc`mNJb0UOEgi{4sy=(0@{tS@M;^aTSxpCX zO?3h{eGlH;k zg}<22EyH<6AV@3xC3I96o-+bKK;e(j8DTSf3IRH)%keLlIfV$q4hH`UI=hU`34-9d zw~fMIn#quSf^1|+nS>M&1SjT!bG3wo38M5Z{MXPSXEVE00&#Cpm++U<$!0UVieast zu?9WrVKIr3K!T;hsj9^@I>)fBO-8%1fgSaAOA*k3*7oB z=yhg@@1o<~qt&Wi&|%iV9y$dc(1%$Adp{RY?B!R9^6Rj`m-tj{wiS?vSp$zg#AyD2 zJj@#S%GWubhd9kF1CMdHNQYSidN>b!Rl=~nH0GzUjKCA54c=M`=!2|*C#eQ`Ybn61 zcUm=zz}F-tww3~}gRFt)sNB4@q`=(B0{b5Tcivi3U_Qti__hRNYbjtKWDUF^Szv34 zfs(-l z^9Kga_737%jh=(PM4p7XxG|QL3AfOs*jC_=-9mlrZi`4jGtnUYb9e?pL!T`(%|uOu zTEd;Gz*A(IlYa!Lws+183p%tzA3#qchrDs! zjVytf)QwZI*Kse);yBd!KGtY4i1HX70gcm6v7~NsQ@8LKg3K>8*yeTCHyXs2i0{eA zSzR#bBL+C0d*k<%GCEMG8wm@U2S=u&{LPju!dCShMvk}R?%$``k zgr@`SUnKUV>|Uif4^acoN!`sfgddrY{V&jbplR;5X|Q3SM+JPy)+mezjK?7WQQV5> z7^vn1RZb6Rs*AeY>83$RDU62=LS4H6{$;eAH5&b4jXuSV9&JU1>|;|WiqGSz0@dA` zYM-XM$eqPY1|cq9h%Fu<|5hWvq>!gRh1B2Sc>^gIz=pp|C}bm(33@5$2>PmoZa@W- z>Mx>OXJqLcI-?ye!g$S~EMCEL0NJb>tqT5{&SoW2cH_AUDHm)N#*btTA{&CfjEA!M zsh!P5d{Lt}k6#$XKfDN=G05XSoyRX!9>m}+Eq#K7bo%XA29e&1Cm(k8BTfD*7x|D) zo|ru`IAsv=%Xl_`!9=VG*^ExP7`$gQ@Mvfwk^kNx(v(ZX{5fNtCjY&Q`~#ajA%A9Y z)*#|4JZ~WX`!s{IE(U+r45oSVr+c4-VHySz{}N9+TKHeUAVlrJ2S9wc;Cs45^nMKhKe2B9y*b3aHvtx1+?k`S_7rs~M@(o?&{OiCC`fQ_4u5@Fo@Y@1!3VwUqP2)aP^kE8J4>luCy6u zYj2gDx*6*YgZSKlCk$S6j|THvuXzcZB5`tOY%mO>yARJ+(9%U4OuJzOTJOyp@Xi`U zb`Z}~Af=l)m~`WCQuoxy=rRnVIf*9@I=ZTZ>AHr~dG|Pst%gBVlP(X75PF_cO}W*k z%&}ENH%eet0|zDRjc6M2tOgxj_`x}Dx9MyJCEfsnEl}>GBfB|H^QD zH48VMKpg;m`V!0oKti{hFv$}xl7#C{jAsmk@PC5mG0-$*D4J(94LIO?pJxn8p~9}m z@$G%8tg)>1^M&!xD%O#;et|Ha)q2QUzfc&@sn~|B^^1hDU&R`;)?X@&Z>!ik%qD5w zY->y}B8=}D1_}0~rI>C&xF@u5-_ycjHx|Nu-ym{x_Zo+Vy_CRqy8+)kv8Y&vX$U$) zy10e)@}_2U@vCGfZz_2#y1kg9dO~Ll=32`b?-~X%JcOqTOzGYiX8Nv|>APO0?+#~b zi&`Lz_Y8v=`me-n22APN7-ssOm+5<6rtb}B%97fvCJfC6@w@`h0q~_;XPEErHQx|c zO&C6Y&#M=jk=tdK;?bhY_>*A}hb}y4z=f`XN+BJ9k9iA*5 zvlOO5?9SrJN5@UK+%QXFszEVql?3p(NkB4s;zUpFw!W6>N+XL_8U?1Ttd1;H|K+A!YvAmc z?yTwF8d`I$Fb!gRKb{`2UYw~)xI&juwxJa!yOi7prw*0Gq5^{Kpp!03^+L_8XcF~h-F zaoJ>KzY%MW9+QHYAM$@LLvelBMefNMw`rDHxZJ|{q)GQQ_;&BQHLDq;*bb%74SC-| ztr(v-Yr!8~8eMuYjqfy7BJ42@%KAP$>yZ5oI{Q63dokU)E?yX4mJ$`4V{ zBs)_+NB8wk#&@K2B-z)OW99|v=*lOq&gX6E=zrT0#`9XAQoiSH`ILHJu+_@~zi7)t zmGhEGibUrw)%(8r5VD*6S|ltA5y(A6I{(>7(o!cQj5AVBlJPey(6eGpq?@Q%&NB&e zp7F|gM$36IXL3em63kL!|H&)%SzBzfioYnUP-0)`dlR`&o`9d1@MLih$c{iG+4lg- zfMX?Dow(_}5qD-tc#49rqbiWSFkBX{`{IR><>Ri03U*m3W|%14uXW+Fe7Xm1#u6yY zM+xZIL+tj*^1aVdzbsmo$|?x6CC72H^#>%Ukc%hBa4z8T+|6a=`M95_j32}3>_*`~Hju?zD9MR*2+va>r(31c2UUW+s=e11d#n=2}x#3ei*wnFQVeuNC2|&%|8Ny1H z8&>u2BH^xbNJ4iQ8I39d3r4%SxW(Yh$BgewF-U}4@Psg(p$o}ajPGkPz+m_dWyU*_ zl*rmx1y&@@=Ctntj{36{&jz~2hHu5#3`u_P`v#JeeCuw8nucKjVU+b*mR#&Td9f)my;fQ-!DxseD)Jd}Tf>RX%9H?3i6?k(UcEvv8!>!Y($~=lfIQP+>{Ot2V(OE?K2nDv z8*)igf6$UGd(GtSwPEpNJet$XifpyR>97LT;_HaZSB1r!c%)s9PtsNCD93YYo^eeQ z*9vK7AD#ke=OKwx ztd+S^j&xLk&gQZmthI9_m99ffM{+r-qjko3vx;0tvn$860_K8mCwNU16BP)Ut2hS%T= zF~!BnaP@nSZOlWx&ueLDnAh2a|0@RnK_3EL9j)zM^Z53mISVLp(HtG2Qyu`w_&l6d zA=0^XnS+cipi3J5KBjcWqj6sAWd)bb>1dcE@4{BR#fExJ+41b40$6<0keP6@!yNIj zhN%yUc+Y*9iRV5{_qh)<@o0y6-lH85#yQwwjc|pL&#?BbkY6?r2ixda8vU1gG;dkQra=EswupD<7tbl~{b!=dG;_~vs6)uca z2ZORGEn8Vx62bKbl{h5eO{#Gv1-(fSBwvj7!ivjlOT-v2Y;|$ziXxHb0Bfqs%WxG# zWyOjpctmQj>1J0Ou2Cofw4`kLb2512 ziB!STBNey>WSWCf14B3uR>+H0SAkFFSKv+!uD)nx6~1N1MG{$FELqE}mg(LUy3L^+ zU+1F&Dsd}?$o3|eR~DA!M~kkDR;|Xh7CBC$Y`D>tWi_Y=v^Z()xefxVqr7rO9W{Pb zEK7$D+P1AoRoSrQ8BTIdY1#7H`6ADOP}^9(yc&&M%ygjq**&g3felMFUov7EuB*Ve z{59wgYQ!uD0~Z8TN3X71S%o`jSC-X^*-lbTacQKat~?^KtQO!pRtJJW=0G1*N!@D||VA`hCo2+>>KAw(g0hY-W;JA^1E?|?{U6uv`?z0 zgzpfdfa?w+it0OrC=3g5?hqn?xZfG#Pe>%CLijeuJrJ=c8up77s+L?g*Jh>G5i;|Kr z7W7IPZ;Tp6=msMG(Kc-XoC5*FC>u}k)GXl61NEr!hR;7LS>p#eYLkziV9W>-{+J}@ zoknrVA)Pruys>jt7Bhk*aTuU+bHS8Y60!smlkrKmFeZe_KrgMzMbQa!jjMu*WH@q? zs<6hQ(cpO4e_lOGjY&#LD7IbBL^WBY6gxFF48O*&{4648v+3LYmFJYH8&2B{ZHaaZOzW z|LvJAGl`6Fo|J@il|Ra;gT2ppGP^2BmA=3YAPxL=!=+a2^HZH$P7~+0jh3yOc#2e{ z-`h$9-bSA4wwY1dpn}?XMthBCjMsR$g;UYer9rZR$`(IP8`F3@dp79|l|6Jz<~PCJ z&L-L#MPJ}l`XsNpWMcPDOx6{AfvPK2!56w&xGQDK$ThEx+LPf_r_QF0Wb`2=kg|^~ zuenTjNZbNs+md;#2xhK2GJxIqWa_S_gsXSm(HXK$=E2k$iA)W~J)G5ftjVz&-vluO zM#$p>8B~(p1@V}Wth=)m8_xCUcaJk8j1-Pet_reELy&*`{TFNCJ@7Ndr|@eSe?r?d z@JA8+o7dgdNe)qVvnKdS*)uz@wY{OO8{Zr;rjEcb3x6$k*5w8AVAd6DY;0kQIPER(xUABoVe~42&*`)#B6? zlp$-5s)?>H@;&Z1p6h+6dpG@Artc?P=C<73@{m7+|4n%RYrX&g5B>6;{Eb^4y4&C4 zpSRba|IzMl|3lC1_0Qu}Wy`hD) zQ*?rkKD3`h$CDp!GM8ugH^0Nt=HxBAuWvE)$1i%--@ExCww;G4rEG(=qge7o-4ETp zdD;Ay-Mb;fJBRV-@Ah}&##30Ce;i&Wt_QMwtLY~hAJSUB!>=sM2m0OJ{{63*myU0F zZhHP;Th&&zt$*`PkE>JAiC3u2E&VGtA66SbZ_;r4 zggvUSf5n|DM#T3venCxW>0b^vdseFNtG=zOe}%fQf5k>MMeXTdsrnIIxYhh@-KJYa z<#y)Esq3o_?l`<-$9*sF-TQs~Qxh$7s$mXgPa8Wm_nVJw%1t~s_kS~0v0Bm6w=VbS zN0!ab?WvIQrMZ#?#^I{3K6?zt7VO-^IP)JE)q-*6FFu~wVM1-@JUbD(3~E9lJOfZI52S2O>Rs@%YbUh$B(zD@8`~K zZrR+Y#^PUofMh?KUmy7W`PNp{>umg6j>;k(hu)^P?#+#^jt{FnYHN1l*p02Nht-6` z`L@HV9u1>jJ!t6d`QpL8gKO*aEr+4KP(5@QKij6Z93EH*=E0aDSR1PrYE$_ZBIt-j zNXvpp1_(T))n>rt9<^;FO-~reW4c1UR#iTiZy8AR9Z>8I#GA9HYui&>1{Q8!Xac!6 zS7YEii0-AP9M(Tm6HW&Q`ZmKZ@;Yhk_f}|6&sJ{k?>*Q*14V&mJyxBfX75kcHP<(z zg~Qk0e?#O!mg+dbd88I&kJ>E%VwJ~#l=+l?G~c`$Xa&3HLUn}fUX&R#GX>Se-@W?x zCewmjkeI2!TKf(X*XdT{)D+Z{{I5q9op)F&kd-%X_Oupt(|vkSzaQnKPEW-a#+3L5cxwgf#J#0gA%EGx5Z)3Cl_B)jx-PA+0tM=;O&+1>n_4owA z=U(2iV+XA7cmi2jU*Fn_;Eo+T>+@IXoUc1lpU+Jx9f@x`5^q7tu2p>~;(_bxTdzWy z_Nx1k-%s3!=AErQk)^v;|DfTK*IBx)l9jbpO~LOSHz_v1TXclFt@2Zvw!b{ z{hN_Fw%6x#S93t-{E{2{0J1zj_hxmjn@O7Ua;<^>y=p3(diHdh$xaw=3Lw|19>~r9 zPn1?4a{GchPg=qrsg&)N&-dMj;zgo*b3Mi}TFu+4;UxEtQvG^n6Z~52nMYRFK@g$a zF^QSXT@0uF_}j{vP1^Krl^1J>;P;_$#||x9Rr&l8tF=gN?niq*qOkZFSPz+X_1Q{H z4JTpX%wmJ(Ak)O_e5PTz2PNd)AXAFdXVseJsQ>80>S6~Mopv5E%gw)B01M)em)O!2>$hWqe}o!isRPAYp+#c=d2n%Y7}4Gfkz|_)UoC*HdhK?(G#?!p8ISEnRMWo5T<0vjp2btH6_5 ziOuX{aY~zv=Zi=;y73?N(2Pw3--Lo@o8E?t=gZfIi_cCN-v5n==XH}L|G)Or$Jc~?1S6Y`$C;59u1uM?SZ-C?Z`cMK&Zx^AbnX8_>{kI}JYPDs5T_Q_{sm~Gb(NI)PG+dSwGX2y7v^U< zc8g**L%WRsHsa57;?eeFY5CIUDZ?%7pK)Ze|0G73H^y_5`Vu$(59YpM8Dq(*CR){~14?JFI)%_-;R*Td3db#DA#FKX*u9=)`B|`}*7hJ)AywI1i`K z4a}c&?ce0v=f>k@Zv0X|o?DEE8Xwt4xSe>sqi@vpyrSC>;@{@PFDc7Ew+IiHer^FC z&OZ114wpV|<-Nx7pIztYk2`Ze?8ci0V%ryY+s<&}uP#eJchtVyiEk;3=eF75;<-I` zxOi@Y9WI`mT`zY0XD{^g#|^Dtb>sW|c4(FeHN{5T*2GUx`zv&)H z``~8L-A;UVm+znZHUH?w|4!nofU$jXBj$Q1o?}Rt8AGfL_f-xhW9ymQB z#GmNczpTtY_xUwB@!3^=`nXx|A~*hgKc4&WcDV6hk@$(g=${+zo_FHsZyfcBT=wbj z%z0EV$C7fao{RQUkP!@}II2e@W^&!}|GN*QkW zxzx3>(D%VzJy*H$&AtzA&H0fN&pxxaY~JPOl@B^H+2vxx)+aZajKzZ_Hl4aYb4u9? zJsG-8E4N#G+O_jZKP}uCF&=W(4)c3L&LjqUe?3NC0yz8D?>{%6r8hym0T5qab3VDw z*Cv{edhoLy+?;N9{Oj)pKIlbu@?|As@ag-9k z(1q(yrBS5lR^%1wyEGpw(kjiDFv`eL1#$}hs7HFL@QU<27|Ld1MaprJGJy5LaGk+O z=L)Y#@52~NJ<=VTPxYt_5p9dqP3Pqrj}_@sBLkujhOfXM(?EKq@QU;)&Buy#K=34; z4DUA>=}(1Mq$lV!$BJ}}=F@aC%qw~HN2))zi}EC0Z1P8XSy}!VUScrPrNS%HBgibv zh4f|3r~VlJe+DCcMR-Lz8M&bz=~1xxQPe)py2qGgW-n^M*6Vu zigd>@;*WHv=2L$Rf7M{5Ul(4H@?4hbBweKWRFC0{4MuvY@QU=5V?~d2g62~_hEFvZ z>1o0%(%)%5R-~^8j?~M+@b3*q`bXgv>7AInm`+kYrS7(ChVM2Q>6e68q{ZVzk5qq! z$LS9YuhH?PQKap{E7G^VN%Tm^YCg>$!{ZD_ipLh29!1)(`B;(e5j@Es!w(va^k0Nm zq|1*Nf21okpZa5XrNKx)D7+$lPV=!MeO~ayAH#>GJLU)FO4F-RCq=Dn&x9g3d7nqI5`lmFc@i0cttuz^D%Ck zA>V>0>124S!APeIuSj=lK31e(6+F>n_-h6u-6gyteL?fFBK?)%i5|l*8jSQe!Yk6p z^c)i_(!Da@Bzg=#ZZOg(gjb};K#J{?6fdaq%7x)$4MuvL@QU;f&Bu!LPQmdj2g7$6 zjP#4bE7BQyj)@g%t;{z-*UXgx9^RXh`E_kBHaG$|QWzH)p(ib$2@&x^r z=F|Sb@QVf`{f+R7bh@6?Vntdb^IPJN;TZ-aohiH`?bdv(NP7fN^cXG~jI>vHMcS`< z%nzXaR2JL5Ef2(L&l({o|0NSDaGnCLNlxxq-U5MGgfS@W?Xy;tx=kKwNv zjI>X9MfwZPW4s1^M({+B;a?hz^q}yHROWB=ckq7@Jkev=%;}>@|0MB>^aMR;V_gS2 zM&|ECkKwl%jFjiG9G6I!X+Bn@^@1mQ3@MS8X1i5|n<1|uyAuSoCF ze5^>nEO?^F@Vy2j-7dT$ov7Cqu_8T9))|Q&!>1dJRKNNdUP*7)c&te8(0tln7{1eB zq<0IiNT1R?*4Ll|f+zkM<{LKI_DFv!ydtg8Ym!)Ls`=C(9|X=BjPw}c73pNnV_pG0 zOYp=W!+5G*`y937+UNTyHSa6~Zghk83_wq#FfK^ccR@V5FZAUXlJl z^RXh`CwQXA@DB|}`eWf0=?1-aiWTX{G@q6W!yh*oseW?->W{QyH1mz}B-MPX$8gSI zq{j%aNGEF^6e99q(9eutVsWl;E5i?2Mk8~jPQ!IWsH<3DPMT!wikwr z1|wY~ydwRi=3_<5_piEo4F8kCNIxySBHg9=Sdo51@FblKf74*3yMdrkRBtvBK?8p z;SY45;Hf`^e`qk$9}BNY|8BhaBYnH(Q-2JfY%tPy3a?1(|5o%!muo)NV|azZNIxLF zBE4Pnm^VT15IjjI!*?2t^lsr5X{A1wiWTXxnos>PtPDo_CgBz7`!ydc(klf|{4u=D zV5G~1SERSRQ}Rcu-=^iP2N}Lq$CpNt-X^>v{SVE@iu47+6Mqc<%3!3w7G9BFjth!x zXQWFtpXQI@D-1?@rSOXMv?|dfJzevu9>ZrCjPy+573oIJ$BOh?!IN|{e4W8a|512F z`ngHskMw_OKJ~}&%?2aAMR-Me>Y1WPI#Khf9>b>@jPwlQ73q5>iyrB@nospIZ_u#| zH`-stpKWVzkek1n{U2NcTTI$2GF6!@uUQOo++{E=lc_Eh@a+sasF17pNBmYAL`b#1 zGbB7N8bHR2)`9BPJv|m>mmE|EA95}r?(O*d^J`dTRA7q(ZWgti)!1EHU1%xtn{PP2 z#UC8F(15?FbM;j^h#zfP2fmNrZCEkt^l0QK_}zrR;qv}l#8)Bpe%?ikY5o!7F`YTb ze=YBrYRZo4ZYjiVz@-eMG6@MDYsyygUVRlluz@4ZX|*ZCvw=z(rn~dR0$eT8DsK z>>+;u@-q+NOCk56ic>r90Mdqrks`G~=JK34Q)SBG5+LJISt-&A)bXADH0E z)B?H1L*@dRd8#XOIgrOaq!~!vL|0}VkdJ!E4L~0AklTP9dz!2DH6V*Ur~);9&#NJo+qVsdMl7`dkA~WiyrbQkdtuclWIK$q{%~ghvdY+cV+$rLKR?`S;1L%yJ-?oaG|zK)&Z88-S>4SLSm-&he0Yfb@9C z{Xkyzki9_OImNZ}6p#x&x9&!ed3#Pg<=K!gmCWu&`2c&7bi!286IS*M$84R3ho$`ZlJ8E6zBS3yL(?zxb zsh#B_+kt%EL;e-WuRP>`1DP`0)j9&?BOY?B!V?G{@(v)=G03MSHWSDy4_N}_f%9C; zEkMS<*F`=A9;Ueo&v-_IG^(S*fO7DeAIzEWzKg^|E>m6PIoiaTRWOS}LmxEAj6Lw@AvK2(?;*A0 zP={Ue2ou$?FxJy2z(tH zfv-b>uLXgxX9Hhj%8^=rfBtCsdMWV5JL`TK?kl%k^J4R{~#?0$+~=zFrD^ zEgpfd%);_^I4kh=WZ;XP!^?Z^(ZJ9Qb-M@Wtm(kLGJu;A>vs>p5@la(5;mjSFkXLD6 zRfAqzw)3gTa9*AOEq`9NYosOY z8=syZB0W1tkRFkFr5-Ne*`iIMj&%&1Trs; zK<1SZ$Yd6owZt&_QX`NVGXk0MBao>Yfy|^4$mB;LGiwAgbt8~jFanvyBam4(0-04K zkZB!(OxFlx){Q`B!w6)qA4Z0w>|u`2T4wn9LS)znU&UmB(|hNz!o4x6~)!FX3U(KNz#+lj%YEbxZtMKnkMu0)J|f# zVrOc=a5fkD%Z8o{DEbxTqE5@8{R~q(BEvL~4t<#?1hygOXtgsQK8{v9i-$?G&DSz3 zlibNlyk~6dO?=raIWt!VYvUJ@9%Efq8ApyTGqcIIjS60| z^bdPqZwxw@)O-4_H7ohwnRoi+(;B=J^Cs}Qici>Nc$9O`2Nu|C)C0#4_5=m5QS;e$ z{D&R;42WkY!|TSJT;#ejZa|c+)hW&E#;;*uQQ&hGR|Yb+48NR|q4V?+wxio8B)*05 zkOy0+w9-d`q)*^5?#Dp7bl<6hFCyV_2r?V2OfvF|7E{RQXgF4;$6u<)(W3Vp(O@mJ z{K=w0WN7C#J~EA?g(ov( z1XoUGZh(rfH3DC?uau`}=Cy&HN#!y%OUqMO%jZ`-?=!Ct>`V^qa9!tV)s747+z{Bw z2X376o?J1$H(BcD4t076*2&3G8eM?C{P#{$pgb z=Q~RSI~xK!cLjEK1$LGNcCHQVa9^wEedg}K&dR{f^?@DUAN1|)3GA#2?A#F8c{s51 zaA2n?u(K(!^F(0hk-$!CU}sBU=jp)CV}YHvz|PHqokM}0CjvWNft_0eJA9@MifL<^ zxi7F&3hZnP>~JFW?K~OSSr^#3E3h*?^ zoofR-JXeEQTB@~^13S+KcCHWX>^u_KX$|bW64<#lu=7}8r&MmI=81Bd8QaS3%zU-n zSIxL`nHh)5k(rC^c>z4&TSr)H?KU##oH)(_BF`1z{GHE!w-pLq8M%5%gvUi(q;IIx zPa_CY1hUh!b0ZLW7Q$+Mky<#YAzI%6a;sVC!B=y4p@2BKB5k!Efy`Z= zI~2-}%OGRN1hx&nRSb3(xwW$jGIa}GQHazqs zSA=YdP2yuvNexDwU3kwLNW zUBv6x#(2IqLuPv-L;WvlJ8r3d6UY;u%r7b9mDulrj01?FjBTvF(B9a!J|p)FY~D}6 zvtKoyoe4l5^N_hfUh$AifQ(7%0_HOx03z3xt>q3NJktJk3z4L4Fg-nmQbu`s-wl~P zp0B5XOiE;;_PC?Hp)1psAoRgK(RYnzF69qT-~u_|**O7avnd%5sr3#ZlOU6}mNS9K zvsgA4vw%2lBa)praxc=#EP#xi8QGh>5NsJPfK2`}cVt@uq&3l^#Uc>9dY8Pf1;R2;ecb?Lv8S~ah+RX9 z)_p)GdNO-}O!kl;crpI%(9x|W9qds7V-^0uxy6jjE znY^cU6_7<9@-f=+@^vGS4FK5+q$S)5WSyt=Rchf#bNT|1yqAkg>?M*X8*NTc2XcdF zrxwV{1c_uy$;drltA($)W%egB^x6VM4#-rX=?s$h4UmD7lfs*gR+{E71G&bt{4F30 zl3Z}bSn7=1h{0EpkhmjS8s zQn;Ej=EzoXIpUWX*M1G&+7FX-xlChQXHNm6v1fTLEU!xPbsa+0g;t!>@a|c4Q(<-7 z5;b%;bhH$DY_Ka{&3kKZSbt>A#uq!9J1yU^dUbc9*M{(QwZy3B0qr=E!Mtqz8n=2~ z@V+HWFE2H8m*TEWbY-#QVrO@%T%ErO_}P{Ohmrx3O$h@~0 zH~ZS6)p6UJsD#q!X)bglU$UJQr?{&lsnQ6q4c75dQzuF|>WNpk7faFFhGGc;&UxL1 z#$LmAcC@X}M8zI!#=IIi(yq8c4zD=&o%9yEdy1VMKB$L6PFK^X4G}TR#~b7B?m|Z? z(yJ;z6P?|K2=6uaK=U?c4{Pr1ZY-d-&?tRj*hQW8tZf>^dSM-kqNzC^B&xf>RkG&- zjRP&rmJN%zJ1)z)7}Px@%nMdUNJLmF8pq0lEwHD|0aXC|sFbgUO?~s^iIr~KlHV)Y zg=Bt;Vyux_D`JA7skIq+fEyd71NzO4IZt!MsG<|jp zlNgMG!OBJPNA^~MIZeSJO0B6_7D?}^7O)x|Ls!>>M#Hhg1if&E6~#;RPHhpyel2{!#W1x>pokj*{tBr9R-X$NMUxQA;&&hSf&`ogx^*iHj(hA)Ts3B>R zU3?G5U=i&NcwI?U>_|F9TW13%Lz@r@r3o?NMw}PJ8bgm(+HF*vI)I$x}m|h(< z{p`eTvndG<0}1H#n*=k(qf?G*K@~_QY|`X=uoy{7@M{8H-37k3BLJqBOH3;VreoA~ zEFuT(?VTNUoV$1-it7xf3^P>IVvexFE-5tha6**O0`pM-Yls)H(9ycr*G+{#hKe0c zg>|W?j?NC_(-)1p3jCxXGtI9CpiN?6ZGiJ6)eWUmLt`s#VgtJ*Pdkas;%ELP^U|e{ z37~s8Yo3VB2dOGs@ShTWbp49fh{2sk?}g#IeZ> z?8yR1+vbCID19slCA;&MUg|9MT+{k&-dFBuN8%t8W7*NgN=0RGrk@(+O>ODL@7hAc znrbW}d!r?$1mB0o+k@|pn`NV4u*`9(jms*NDpMewpX5`Oad$%r>nPp0knpY^UB5;H z>N?rBVMvLvqN`0p&>XyqK+*^>Bt+}l(h5M1i4+Gxh0?{HO>tX6y8aw#?}OBjtyOcP?(~v|VmpQf6mD}vW5H?bULS~1 z{OAk1Q1E6cHzPxLE;|P3=A=hhq{0?yYXQ?#Qf;==at6?O&^pN7;_lAIIZaI{`W`wD z5mUBZZjy9qcbRK!ra9|d3AnUd>_EMB_IMShogK9?xKiohQf$YZhW3g%j;DIA5YO09 z-gu!FhNzy#?qV0lv8bV^u~@9*iUv(%P>$sAYiEJo7-b+vaics*Ns4Nl>Q>@}}rI1R>26i1=Eo8!J-tk~pvSs8qs zdY?D2vJIN9W3py@glP|rHd;)ZyRhhIx@|P3t;MJxwXPyR0X!YYWYB>{S>z3&7?x~p z8PknzK^K!m>AO(1HNlvL%Th}gUS2x8qqEf7-MKa@4OtaZk5iq=!n8nhA1I1!V_IA6 z&|-r*8`8X2Ei56^!qV2P2L#>bQM0^fZ2X&hD1Lu1R|D(?1l`ZMAobdR`HaD?JFr3pqf} z#rTM{r}JepR?0nIJN^&SM4S##ifuJO9>Da1uBO?ixqXEX;QH= zv*eMC>;AkJy#tOn^X<2@v$H!hBq~v!_YnDy4|vIakIg~Ymj4&O_ic--FO=nt@Fl8D zED*L>4Jw3Sp#7rS2frgEpcl!-fT=NxjDB&A&b_xa|8jf2 z%M_U)k|{Drdab;@)*iD9P&rGcXr6ZCDD9PD^d7oMkUB3kOxo4tTp{Q%#E=0;NURCJQ3*k8_&Wz-d)vYd)+|)tT_vw+i#GG z;Qg!_0n4+-6!_{9rQXW&Wr$DseS6j%$34CBtOT9*$Yho8fY_5+aT>oUoEuPFQ`KUY;061;NT zt3nPeQiVcZ{Im*rQOBZ-wHvQjg$DNHMTyV-VO45i2Nz|IeXlAvu*Vm@9DA$^8C19T z=pxCI?kB!rF1NY2pMEFCL>cbfA>p8R|9A6`RHUu8g3$i9wzVu*#I4D)l*^aN1y4n+ z{9SJ=badoE?OcEjL+iN1NLn73priEYG(U-0mEFQ9fR9dqB=Dz}ou@?d`9oQF^-I0qN#C z>5i3l#sx!6>8Xj-QYslGS;)MDONpzArNq+c(r7X&zUJ3FS)xz{Mqf+6i=2rTEM3Rw zL^2wI*L@j(Q6t&2=RjgEagKQ3-_`Sm+F21dKbGabfpSm@6(mV52UV22bdbVcxQ$RZ zg5FCpmL4E%y28KpSpV)%B&)!EdAQqWAkWS;r~_j;1wUfq0$49I9n~qw<)Vg$(WwI zVrA)JqNM0v11n5mls+C}k`PD;c_oYc4`-|Eu!sLJN8@wcFvqS{fzg_snvG4cVfzGi z(|SpZ@cQI8VO1f*>sHsO&Lpub5zaRKKV|wFXKl}iJr&aR7}!LnMqgEnm6;;@#X;n~IcT6kxojXP88F~}*O&+d=97d2v_ zCiI}zSCOpW8rV~=h30&F&a9|c4ZEjc{cl=Y;avs$LKFLQqpwVxh)#mtiKDpg-sfM3 z9(MKwJ@Bo6(N`qiSE%vUIP$)hiK;1Aj?H$-DlV_9vTZ;YTwYv(3o3~ zk6^4n9kI_OJBar8{(^?%36$rVNXr9aJs8>h5$qAfBj&Hch37q!os5d!r&Na^jqGvV{`|4(d%#hI3|GI2que-ooMj%(VEE0e?_$a#lI# zRtskpIH)%{fidngk7X@lfidtI^vGqs^bL_`$*(vEvY?3o2lWoxYe(9X-D92_BkWN^ zL!A}E#6}fr=1zP}W9e}`xhD2$OTE%d5Azk#HVKMr?qjD;>H|_=$5ZZOnlGc3KIwQy zSR>t9S^AOdSVp`1$KU4tJC3lb>>z~GP x%pTuy9w!1$1e^#s5pW{lM8JuF69FdzP6V6?I1z9n;6%WQfD-{H0{_Pm_zGvW;&K20 literal 0 HcmV?d00001 diff --git a/flash/test.bin b/flash/test.bin new file mode 100644 index 0000000000000000000000000000000000000000..1ae6a5e1cf471e5e00bd6c9b94994abb9410c0f0 GIT binary patch literal 16384 zcmeHJU2Gf25#GH!i6@4QS;<96+C`3(f;y1XQnpjHs9PWJ3^9paP;yYjq8sEW_2FbC z2&tDAHG#ifuJO9>Da1uBO?ixYLCX;QH= zv*eMC>;Ak3*aMC?GyCoA?6*5JBq~v!_YnEd4|vIakAp$8BYzXW_ic-*FO=nt@Fl8D zED&q48dQii{w3L0$y1R2UX9tRO03B$0qs}SKKLE^QtBt~+*`--Usv#Vep~4$)}OYS zTzwiWgAEVow_|oXOsp5-OAKQDvEqesR~3BhySpqXG)Syhx7Ffd@mi6HGz4fP_n5yw zkcy|S7X|WNp`&;#@$YULGA-Ttrp?EhFNS^3h=o!{-qS7NsDEcgz(2_tNJRP&w^y@G;Vx6LGNep|F$@H_!;54=Al4hB}#hRmy* zS|9CGA&$-p-N2K@$T7}~=Cw_+Gvn(#v#hQp!XMz?E({_vSNttSnbZPCTrjn}I}!}ilfiOv0SRchD{F3QaIgR0!HJ-+B=w#TZF zL3MwRE|M(ie&P$u>B)9=MBQHDErNI2--|NZ~6 z!BY_{|J2(G9UVE)m}O(<@C%jm+s}aQgAKY1?cZLREW2_antr3&|>QUmouE8HjT-4eEfkCaA0?pxxVonP>93g(V*e zK`ol1bAFc3`&uwPEpm%bJ9h$ohAa^o`bOn3H5(Bu9?0J{ z4Qhh0+r-M!V~LWYdkw5Gfl>N+$dZIWLdYvw+=}v#1@CO3=PjN&ep;^6K&j?T8}|Y`Gi&=p&AD3kb}`nPkt@7n4KSS zMyals+@f2@*aNVp(K0XDBI~7xM9NTpK;c=`)&(9ck=@k{i_Njmn8fms<{l7dJpc!G zdu@%^t8gd3igGj?XQi#GHs%D68uga z#dY^S|2p)rvnS|*@BD|pBC)DJ29Ph7_`+TBlf?%b&C+=*0@(FgrExwlS;D7DKTuTKfX9~bAL0$5#g z2kEi@Z5+p(@g4qf1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&ZG1DpZQ0B3+R zz!~5Sa0WO7oB_@NXMi)n8Q=_X1~>zp0nPwtfHS}u;0$mEI0Kvk&H!hCGr$?(3~&a% H!3=x_B^Sg} literal 0 HcmV?d00001 diff --git a/gdbserver/gdb-server.c b/gdbserver/gdb-server.c index 67f0be0..e376eb4 100644 --- a/gdbserver/gdb-server.c +++ b/gdbserver/gdb-server.c @@ -35,15 +35,6 @@ static const char hex[] = "0123456789abcdef"; static const char* current_memory_map = NULL; -/* - * Chip IDs are explained in the appropriate programming manual for the - * DBGMCU_IDCODE register (0xE0042000) - */ - -#define CORE_M3_R1 0x1BA00477 -#define CORE_M3_R2 0x4BA00477 -#define CORE_M4_R0 0x2BA01477 - struct chip_params { uint32_t chip_id; char* description; @@ -59,7 +50,7 @@ struct chip_params { { 0x412, "F1 Low-density device", 0x1ffff7e0, 0x8000, 0x400, 0x2800, 0x1ffff000, 0x800 }, // table 1, pm0063 { 0x413, "F4 device", 0x1FFF7A10, - 0x100000, 0x20000, 0x30000, 0x1fff0000, 0x7800 }, // table 1, pm0081 + 0x100000, 0x4000, 0x30000, 0x1fff0000, 0x7800 }, // table 1, pm0081 { 0x414, "F1 High-density device", 0x1ffff7e0, 0x80000, 0x800, 0x10000, 0x1ffff000, 0x800 }, // table 3 pm0063 // This ignores the EEPROM! (and uses the page erase size, @@ -160,21 +151,15 @@ int main(int argc, char** argv) { stlink_enter_swd_mode(sl); } - uint32_t chip_id = stlink_chip_id(sl); - uint32_t core_id = stlink_core_id(sl); - - /* Fix chip_id for F4 */ - if (((chip_id & 0xFFF) == 0x411) && (core_id == CORE_M4_R0)) { - printf("Fixing wrong chip_id for STM32F4 Rev A errata\n"); - chip_id = 0x413; - } + stlink_identify_device(sl); + printf("Chip ID is %08x, Core ID is %08x.\n", sl->chip_id, sl->core_id); - printf("Chip ID is %08x, Core ID is %08x.\n", chip_id, core_id); + sl->verbose=0; const struct chip_params* params = NULL; for(int i = 0; i < sizeof(devices) / sizeof(devices[0]); i++) { - if(devices[i].chip_id == (chip_id & 0xFFF)) { + if(devices[i].chip_id == (sl->chip_id & 0xFFF)) { params = &devices[i]; break; } diff --git a/gdbserver/st-util.exe b/gdbserver/st-util.exe new file mode 100644 index 0000000000000000000000000000000000000000..e002f7561a0e03c3f5f30c09c465f44051b9114c GIT binary patch literal 116964 zcmeFa4R}@6l{dccN0OTuOn|6Sqg)_hkdFjJE!+W*52oyb3>x- zy#MEU|MNb*dUDQQYwfkyUVH7m*Is*{!;;VKRvx947gvA3QqSPdUqJqT`kyAC&mHyb zx$4Q(UyXjoRrIUTE7nyv`s*9&);5%{_g9qH*4BmnYeN2pNUguR)?c`+#J|3-GBjn_ zu(Vvmx;Us*kt;*}!YZgdkn&GS@jS_xUOeq6cxgab=ooH|~^7KXSDuGIyK$Y5YZETVFQ9Jg$e*qO!r}=$Q)l-%i0~Lt1szk?G&U02 zLD@R^boQ?a{H9dvf<-sbkxIkG@*O|&%b%(XZX&!37t8%RF2^sRGUw+{n<Vx#zuV#Zse!nQa%Ou2^#L@=XYB_Ee{IZw;RHYzf-B!L(QkW zEw9SJ6dp;D{sE}gtlU^8u+67@Egj+EB56lJQPL*@s{iQz+rAS}z{~>VMY8%`{a~zq zV_3ZitY(#p49weq^yTNRwaiH^5}(^9{d15zR3#avfG<<=KPhjHgOJ?s(su z@zkpsPkmXn2NXGh&TVkbZ)e02J+9qGOp1qQi3WX?aybf^9Xu5tVWhqu>1Y0{zrVj{ zG3w)^$+iXGb7Ytbg67k%c!mq(8L~5;3cfdIV&p-?s(+oCpqgKpe#`3TrEO+(2K!vF zQlpN;!4FhbXRu4D!@;+qtv-tM56B0tLgLQgNh+=T7x-gK^Oi%JM?gzy(nRL&d5||6 zJW0qn{AtK&KJ5h{+o{K?*S$1nX&T~G?{L; zd542Trstyg=8r&FenQ`lO@Ytu)1#byMZhLV~?$o<3K?7^7{Xmy*r_ zM|9gO?eacwl$V@X9+mOI98VnDbQBF%oQ*Mv9E5o2KJ2y=M+MprV@6w2HY_~;@5Lcw6w4F zsH?+u^kA1eDR2;~4CsCp>^s=yoqYU*{!x}hqE{`=577adG2}FF?elCqV2wqFDW(k! zoBh#X7Sz_0^2*jej?f@gk&l|U_V#D&{3fgpPZ=3^@4=JF*1e6kI8YqeP)kQf%jXB+ z+ICY`&cE}0&O8=8v(=43vh|=7C)L}v2$O1N>mkt}M$gQHF`r3Ikd%eHTvFKvHxbQ5 zeRK`3k8jefFzOAi@Mt-)y>_9(>crrzN}|>)?`{7SfLVHTGtsGIb0OytWPS)TbTNBSjMdNJo%VHlU)%|!@P8bCw?HC6nEh7QT zIoOq)s)r9fC=A(*ttif(Q<_iZ?bzyru2VK#B7U6D1umJ~V(qmc7@LTdRPZ<&d1mlL#=_tUNgs;>RC;Uz1Or!}CwQDr zjqWYtO0Ggp*#&L7UMZ+oGNePo=B=kxM$64;P?^~#$NqGSG+VSZle0aQ0+!Uq0n_tN zfIu3pXASz$>|kcNG}d8d>IF5bGcfN7lXoBJVqek3mSJ2D63PM{WscW|pKh}e;8>6R7Q^14NFaL9tHpZ&v%3~J{sCjElMPBVpqH*yD zLu`Jb!1!DxJ5D`{z6DK+F$%gG+0$qj_tG+I&9LJez915kS4tcxO1WwxmN= z>7RwUne@ln1Y$Lm<)JYc91V6*wrnuyY&nCOmhyDAAW&?cyzwUv7Q|L*LN|N=e3t&s z%@9elpp$XQ0fw&uR@K__U*Om#d|4lMTHTUD^Hb2YbVx0V$R~=luEiy+1xyv=kR{=R zHt~%l{(PLcR~%T;_Lr|Dy*%EEV4r#1ays5}d4J*~eP1q!R*}>8`h%vI4A;D0wQF zI~#!2Hk!H=sMg>CN=K-bqaR$Fw;s@KQcJ$i0itWOOazoF$5@y*H$s9ipSezE`<#ZN zv}z7eeclg{3_jDm^%=E!LhN!-%Ix`!)rWV2fwWt^koU1HGt*{NxFIr>@w1NR*y!fd zH)q_v25c3uZ|0gtQTQSDMC!sM8YnYFpAjQ`~!5j~wd;@xKC2dTqu#Z)!6DGagZMutj zJ8jYfLYmRi02I4yOCLlhyCa}!lN1Y?D;yp_8<8uB$IeEaBZx^+-BL0+DFHEE{i9N+$BB#qiRyFB>-#GZ z&-+F?YS+?>Hi11D^J{y6X{%Gb4Ov)$Mj zZ}n1#|01-#-P}b0tdFom26oCA>&JFYGJs-DZl$q`R$5zPrY726nPNe0WYV$)gLhOY zT3a^Z`7DWrg7goRkUlK*GAbR4N)FvKJ;7&MTj~YLzXQ7H-$~vY@(-S1Gj#@!^VV|{ z92bwU;gGX6GQK%@93A*X^Z^pn^R&^;y?zE!Pq=#Em<~#z(VE5a*&-<&py{TD zY5szF&0zi!7)yE28`yrp+FF`u&(eQne*eQX5F*Ke1{o+=>~fu2CM ziMn?NkN)7zAH3Q891|HEXGR6u_JGK1g0Dsu-+{~;?GP(Tgg)A{NOQO_QV_4JNC@Y_ zQe{Z;cbJZSgdzlw_HfV?>-&lTdL3FpNTJ zhxrg~A&?|zNOG%=UK<5YoQh_>R_C}da+ytg6ttE=4kP)b0Q7PFG?Jm^&fkzMb|d5L z=QN^w24TS?tc|QiI$Pd?u9@s?dB;2*Zh4PS(Feq_2_8Av<$+2tO|p1cjX1b%l9822 zaR_E<%EnGuN=+3@wfUDhl8_@>dW2opQIn~tNptWB?DI%Q#(i9iSiR(7@<5;Z0-WV| ztF^Wi2~!HGE!fK#Klz^rdTGQ(WO7y`G9StA!y>7*rEpLh<|NJSLK8qLDwNG#p4OH* zk|YpGM1_V&TXfj*svSD%MjbPfgk! zdw{ft%L6P zMO(<2G~G9lCXalAFNhxCW4!=9w2*hkQ&`a=#o8Kx+V#K*n8dD@H}Lmx%bPUifg-fE zkc8~-0sCDomw^@fpC|Z2Td_amDM{~|?`sBYu%9i(H|&baU79>HH7auJM6SkgU5Lij z7>8khG!d;g=F@2o!geil{~@akbH5jUl5UK)VvkjYwwB`*9m?jI{>$sB9Y4hvxVE5# zL5sC~RLF9K%(SLiJDr}2kmKp88TY;o-8P?|o^k(gX=@8v9PKbF%pH|^8xt{{y0|~! z(!$CRBqL2P%YyXZk=%A^bEZYoJ6p0L1BM{g6N#G{-K8rm9GAmAH)}c!*(je4tYY}=Vq{wuu0mPSiHy9x&ppM zGEvp$=lEA468`x`D2{#^0hV7j-S}mTffT>2=Tb92f52#$jt)So-y_xiYs3b2yznU6 z1qUQ>;zZ6!pvcT!!851`qlW1B82n1l>?%&e)8Rm}TM)St^aMp#Yq4J-*{#?lWgdTP zv1}uj=Cl@PTN^FJFc#M*zFG~!RI>erAzhxRr~HNJ8K+P>Q0X$-%M(#q4iuu{CYOXW zT{cPv^Zy81T$u3_V2ubOTp*`a*Gx*lQuK@tn4?l>SXGn6XoRH6xX7$L5bWs;WIsJU zfHqEVD?KjNlt^%!F4L+V)*5m+1C879b$GIeA;dFiqWAkCXaRZKX#!gRvshqzLXiF;aJeY`4eR?nz*KlJq0k*rg{K?=)eM6WDg3C75Iv z7R_8Va;+0X=!9|Rq`>?P^cSE`;DErkku+D9be>fbUHRi!EACu|+Tc-d){rji8=(6) z2q_iC#tlT$nn$3v(5y|Ot5F>6AT#5sm-ryNAFuD%0oG#bC!qVP?IcZ7n>lNxFN#g ztoL~6+Ugv@RJ8_=wFTdHG{(L2*$HW_DPjmc;h6?6m;J0Gz6tyXflhaQ#$x zwS~H}3M(fp4Fx_sc+7%dViEGVlnkdmDR{u-4PpBR4m7=}Wpf8MQxHY$V}(#LpI_hg zB18ATmmw;;h1F8u0R^~uf~O9vQoT*06D7{Xd}m^TPV`1O?2*{&vu)=IFZike>J1R- z%Mrkl2TJX0J>)4pwPR~~6N<&bq$YGL({~t{;3kbOo%Hbp_9rD_H-Q;jrOJ7j@EmoI zB}7R;#3U4qmHagVt)%$RTR=Gax>zR--?&R{fvEYqcn`kKYYt1HUkW3$`>KNSS2g*uAV%+^~ zn|}@UwQL@;!mMcX=m1EJ`RfJGaR`2{CHTK`Gd{;|`BUsFxc22*@b9IB)Vqni5 zTI0hVwZK4S*8&OGAhr06ZoKlet^Rm7_M;Y7Hy&Ubf4QLR{?k_XKtVCULHCJv@)?5u z0725T#+!|ZDOrMIym1aRw2RK*Dc#TG16Y$-hYW!)N&D&ksLjC)EJ*m{{Sk>rbV4jz zhQqr^_;4Wa0j> zLzW_kEdT8`-J@%1O&bZu=lSc~^taeYJ*!P0nQbfWzb$BwSWA3Q8A?jBsY6#n9gt{qe++8N6>CMgAb-jGDgWV0&Tx5 zQzzM#{?diSF*&5tg~J2=auz_0@taq|7$4K^6D>_N#?2QwRR9_>fHSD8gfh5e3qPKV zR`KHx*!uWM3Uj76$1P#L z4`Yd1_grWNb#|Oufl&g>0;(RvmcC))VXX70PIbu*XQMC<#%{iXO%uaFlM8U{dP!pV zj3Fp;IEmqrlX&_$o-j)In8kLWW9)l=pLOJUyMRi7@W-3C9@oMbG2<9!>_b2jFHQY|ud+}go`6l~vFEn7XSqCg#N?h8 zKABEFVe)w$GIc)=K5Z?`!ftG4ioL)@`-Mjxmsw(K<H zNSsdMH_?Hk!6PUIX=@ z7SvD;RS?@EG+r?lpA?8#n+%-Qqz{q)Y6pFhgB~LmibR0jroWQ(DO#G&K-LZv0iw=8 zCP4a0LZy#kaSPdB zS-+mkSlC`xE|$+7aEx&{2f^`kJ1m7Q<_EZEnB~~X1{B6ASUp~jI7&iPRR}XCOMiev z*N<2BbaVe6K8KF*768&SQNLdrQ}I^5igCMu;gs4E7Up^BVBbKg`Yfq>a)6aYVwuPRnJtcOm`=d(BzhI9iOtD$594S0Wd+u? zPxb{^SB+qG0mEOw@b)%|4a_4jyzQ-F*hwXgNz2<02@Df6Ylex1kfFs5^Ohwu3@!d{ zpx^HfK>sw(ugP#gKR>F*^}`S?6UYLAbC9^i$slu1oWUwE=(#unX>*@#bI*=tPNd2C ztnRVTbPpH7veJmcin1&#LbD~5j}9RMe;)qDILO)5!xmx{cnW4*lO;MnpD-Y2CDLXc zGuE{>$Sf-mC3cGJwd<3?s6*G(-I>Vb-Lh!AOfo1d}Xq!-mt(Na2i=DwL zsD8y?-pmsKD(Bw`m@ z2BGY*@@U3o1LSfv{@WOxdMs0V0Xj+thh8uh4vgT*;f{9^O?9dw(^kbka43>^Zzz8RH@?ADGb=X;`92RCYI1(A@Q3gJ~xf zjKJNg)uFn|lb9)o>?&Uc(o#fx(``U)A4afu2tD${FjjV>x9KAEp9d3q#n6m09E>0b zeLhQhajl;>boQfIAPtb?acHQy>yyFcID#PT5EZ&Rk&#os*O>~IfHAo4SklK&)0`z` z-9YBHZCnoKVjJ@v)r;H4RRc5Hw(%i0`%Lu)4l@a@o^F?QHOopEAk%Gr7Xb;uW%wO} zuQl-9tp`4r>N(fcKLQAjQCsHY!^I%W~=e&AKPJ}h^{-TI!HPkuy#f#XEQfU+H9*rjKe{htzm?`sbAbxOe=6pZ1= z9a}O~;XgKoPamxCe?=VCS#d|<`@OazLE`-x_?VUnA{QQ`{HlYrRsX97bNAT9Ovmj^ znej|=^aCy2|Ekqcdro5I5>_kas<5LnmU(zRE(3m?MtrW@Gv9(v0FWf)fglfdf#xV5IHxgJ* zmtsp@Z+E@^Yr`OmkO8Jb-?BTnEqxWAgSj-!zT}Pg6tJl9=Y-i_wKIlB3N5 zzzRNQ04NB6OrygY>_eyQ+U`fp7LrhhxwwmB+T^qaN)X!}2KxkiOR@Zj7km@92eyCQmMLL6Y`` zc$*gt!Nl3)9<_5e+Bv5WsIN1}^LCDTc8)oSgVPc|CFARMMxSJ)O2w#pZOp~M;G|sE z_GF%y+kAO!^CenauuwFuZvr|Bo0wiR2D&rI8CwVvo^lmKpYiE}7`uPVxW)KR&zv0@ zdpgijopl_)KW;#)u`^*<2dw5dq|GqKk}2U*6W zarDxglffA-+|Qg93E&sv?8v}CLVM+Y+MzEWA`O^S99<-K1gj#gme?Y=<2~tUtd7Hz zu@8_#QW<=1*DJwyElyNt6E{t9!t}legrzkM&uv07oT^_M%k81Ybv&PNs^&>sHOK!A z<$W$rvBOXtu_>M+1q{XTpc-StFHW$t3rsF!XYU|$>`m-BSvzU`{s5;5Tj1xBLjc@? zfDL5a_hcf(y=@xtPnd1t=w3d=zQ&C#gdM^-<7y&vpP(=fMPCBSipv!stB0{4yfzVl zR*uJRGZ{^$jBo2qHv+6TgN^QZT zl=?dh)H71j(h%?0V2OE;-#v;t8IzMpI&(8%Z%QO1V1FzWULDJm@L^SwJw3P47XCXndY~IajMI_TD7e))- zS(+6$pG1-A2+6T_1awgJ<+1Y6*+9DjQPiar28Ss ziZi5{$k#YIc1dEs0XDau`QASt`6_ij9@fQ}>5J}S(INx)5!$>iw8!MwuR-dl#Q<(w z$n7C=p zj(Eo=G71d;kY^hQZP{fk4u&GH*5LrjDLCt=#8i3*HI+SByzM}-Qd(?wuy!TGd zMlGy!I1^$w=n@ARg=PYy4d@+;q1D&@lsr2$C?6@v;ztUgv@Gjo38?WDzb;|56*^eV z>R^O^iq>A!%Seeeh~LZM!0d&TV*EmPn|U;Rd90n!_6{_pbvFLf--fO}50ajX;!S|> z>||wp@fzu6eISQMM18*|r-YG63eTdqr5jA*9mPVHmGSgZ-H)wK54)yb_d;&FNAC#v zP|nBv%+s|>Z8WF)7c;$G(r6gfGn46`OPUi*&jk4_l%zdOUnpr8^KZPsc})xkTX zSe~I;N`an_oxq+Z8x1e0I85&7xAnw# znAilb=t}PJYyBSVi9en=6@UDb{n}U--cUmko27{Ow#0{q_w4|8-w3UOon!MdqvbJZ z9x$_D|KL~OZ)GLi?+H+G=x(|>p&~#3;luY*u9*Ymas;dTu;Q|7 ze*Sq`BbmWBbcW}VVTg*19<(A4gO^niHzvgivEO0SF0mrHrXnu`qbu?R`o@kWy*^!^ z#I1+h-x*Q_E+FA;It9$0;|>_}X-cT9rRg(Zhmb3LeMAOLlg69SB6uA}`LCd?B<-L?5IvKF3vit2JdEJ0wx*vczkkPi?iz6#d-Hu2Xlu_t0n2uFr z^oK-oufQv^2H9x$oBQnC-$U-6{Mc1IUSJQcXc*_vx&RFp^MFKJ%e;NlY%TEhejN=- z4-6vJ2wSQKkxHN+*pF$p3}ZoSkE60|$IF8f7Ius$H$W!(Liw>)_zezWv9xsxyMn^5 z1c??F9%(w`uYH{O5eV|yC7Hp`*6+kfCY-X59u08v!`QF5mz$t};{D$dd>-+Wh}UNa zK7vn0smx-d!5H~TfVCv}ES+rtm}cUeG2P5b7ZlSBN~7mAV5XU)2gT@a?Nv#53}@E*Hr8NXq+E*79#v>ORet1@Gaj+_xZN&Cqx(#j8zjW&y-oIoj|DN7GHgihG)4jI3ULY!QQTK?+^&_1tDhFEdeNlWB@j;>H z>RH@hl1FMX$v1N%@MyF2*K?-D4sf^H?sWlg>!zOQ%Z{276q-#nhG4++iefI<1rZ`&;XB!wa@tCb#RGHZSzrE^SJa) z@nZOGAa)3&;t58eM*;5sk}N13Bhkc+ma*Ei8Q{gtIgvQ0IGmD?^@wXSSYFIILuWXQ z3|0jP%yqfCk^}ZU_JNmvbIh{tH+`&Byx(FW;_SCqp^mZdgGBd{?IT6{ai*^&ETyo|`{zTFyp0Y>vI#rQb#0^a>e#AMl+6S2EET+y~q=uw!*N5x?b z851g(@$A!VdaXqLhd^t|9kRWPP^mw?pzu1F!C7dUUZT_=_`I82D9Kq~T28&;P8ziFI zo~dwc7)~(X%{MD@Q;kM67nYvJKlzcY5p^I0?nyWr2}< zQJMiBjz@_4Tr_TAVF+}ttg_#dsf@uu z;%QM1EHz5l!cw7{&&0hfHKFcGPA#5-z(74u*FE*Zq#BwdIEO?-N+|D=}G* zKx{5H5!k{%Xd{tI@&budxN$}V%A8oIa|}j{O{=wP`=MD;4kGry0L8Kf6Z=`RXD5H)w5N5Y|o{nb>)*q6SJK)*G8jbD+}0`1cI?NTp}T<2g9I*WaZu-^wUmq%Cc zi~S>OVprAT{9S;pJ`q<-Ya*?smb>D#Rxb_XC}#h-%2xww_wN32E6n{?bHCTzH<^2l zxolk*`p;{6 zg|a$-pqKCNSg^k~-9IkR$Zj<<14($AIiy;QIjmuya8=Twm3?ATt_Noy|>n=C8AP zC;t8$pB79~Uku<@kS@2Z+>U^1ZOrRju&DS8gcsc=x8i!at!R>4ZXT&ToeQ$}N;>BW zx%oTfR+zm7yTm&Wf_?PHO)alx>}2?Re*yCF`>&km_c!g9#Lf}u&HHzn#Gj-ZCUKmJ zdrjh}OzbuZ{@W+fEB7$Ra1sh2Z$t|8$=hLHcvos`t-mNHuBd-v}Gu64do zIJeGE7pATAGxw8S>-?AO%J1+tN1;ZY3j!Sn zackZ~x+jo%!4|yj)!Dq)q&u4*;*-L37(r#*LZ{_(S(y6|`BdAJg-G=jY z8_v^h=<1xO+i;$4!+E+5=jk?VnFB|&o8Nqk&d+&-YVCd;iQUop*^f|B-R(%>-=mAp z&w7Lk>~6HunUAowx=XEe`Xg-0?ip6v_Xr!J`(i8YeS}8P{a@JAAwTycG?4DMk>r>q z<6ZXtRnRvlnf;6OgLnT7K49$o0$RHHR671~JenH&3%U!U{CHs!K0V&R#J?SOHxLbY zt;ij&!au7;i&Ei*t;hL($f0xz7Fo^#_ai50jx8On&xc>%zs{870kkuTDfoT;JqJzu zwPL?+^_fl_djQkcCBB!t-{*q`bz9J5cxVfcS5rICEB16u{ax!Y)}S+q6{0|`=dq5D zu&Yp*%qCP1!W01orz_)u=N}PCETMOs@=@QHyHg;M8Q}ZJJvMOs7&!imepbr_vI(Uz z#sxiol&CoJ)jQF3#f;#2}o6EUmH+6 z=b%sEej(u3&xMCGGoY5v52#7A0?Iu*psrmIP|pPeYV>shRUZhbyxCtF z+E85)QVXZWpQieY>NcK*pYFgfs$RQpAVHCE9tcHw3+ifXLlxob4J@sux;A7ng0N?c zv!T2u5=tNgO+tBtQmiT(ShA>s|3b*r_JHd8xPO%VBeqDZVqAD5lP_ZOr1JH^>t7~r zZgT;@NvRRQudwnr0mb}zxJ-VYWxgHw%)7`R`2)Zy4=$ab>)NoDp9SK7zIT7>KcE2T|?M^OFoP$zj5uV)eg)p zL^%PIC$9_D)Tb$ZS8Bn!>U#g;LO=cu?r{Y(Hcf)zG=vO5AXC!R0{xG2H-swc*49?v z74nDIh5QxTz(SSAutuk;LNRI^Gs{1&5s_pIUSH1Mhi=$7%U`m5-jZ4Vf=%N#`PXa? zhZ-mO7oyj#o8^zx`@?k(1pf{2dQ`X3UsvS-rzxTLH&PtRUg^J~dOl>GbM2<}HU14K zq`Izl?wF}l3dUS}&79GN%NDG-xj5)wA6j46uzB+O@_K)9>HMO_3;bgy=I1XiTqB$LG}NshgH|kW2+ti;u&KcO#`tSOwQIxc z2phL)O#a!~%AMJ!{iWHesA6Y%Gjz@Y<)JvpiGPv3KGcB0qZW-ecg&iax{5of?J?I- zs5$u-p2FqpDt?BX(+81rYL)(dW+g*^W#$CM(y|9sauB5^WD&ujA7{21kkYCvP3Rif z_CtFD>V|pCi~N)QjqBoN!yhHRwgYm3;m*^ zt^r*#>|awCsjXy3JB!9Dt*%`=YbX+b zxFJ$o0nsbjPj#le@H%us{Lgxp*ZM;Z4RsBZ{I^FMk(9o)wx&84k2HU zL#`PU18PLB&bP@y|I6`-yyi~}u)8JvYO2>n8rPIHM%Jva4wr=+%4-{83VGuyVW0-L zuDrIgCR7&M5ULF~=1ovT!2RLs^`W{*cu4#f|GM(VGU*WNmeH&IY=5|;6&vhSU2V-~ zwW+?bfx|9-b2-hyWYX07^6J{M#`;7c#@z}G3xwO04I3qEB4xwIX%^H$Syo-S$)7iF zTIB>sex*(<45;^T{SnunarxkbquU?PE2|T2#@`?}`8$@{xCf~T7sz~h}wRIb7QB*^ynxhHFZRc;n z@>%0nrK#eQ#h=52pU)*r=Ub0ymtTH4d=9J$?kKCMscQ`R0T2#OOlT9jMrCMCWNq1c z%u$2WP}H)PV-NAz4n`*sTMh~rF zirWAwte@^^)6v61o9a0^voBBI#F%`MQ;z6+nvjd3II25tX^*w zk3ubKMFBAVqNB|c_q?jQVLe?_e`Q^$kzK4&Pmh?8$vGdb##u_68tU;^F z$my{R6Y3Syv<8Fp1!nmR>2PSTHZIY+@(m$~1IjfRUBvBKkKuNfes>$@L5?J22qn-50_b|mdq4{=o_Zf`|RsJy|SM~$F;l+yTywL=hCW4N9h z(LUM;YwF4ob1Dnhl{I3mY^%f~cMkbCv8#zAinRyTG7F1oG!1T8vSZv_T*x7x3w(Jp zx)UEA23r%ZuCEDk+Lq-ts;bv1W}KiAgy{X2=~V@ZSi8G6#oJR+0_tsCmVc^ z{cwlKm3sSZjin8ts5AvmdfiXWV0GZ+OLxS`r8Q=23RaScNt9Wuc}=7W4&T{o7Ob^= zslc)-OxtBm)L3uVjiz2chH`wBAq-GPKme@pyL1OG!bP<+%DwCgpcHoc-$E-vd=a9pXPSFt8? zz!m|v2e83@`v89e*8yBda2>}L!_|jN{YI&DTv@pMxbkq#!BvdwHe5Bh!nn5J+J$Q` zu6?*3!}TPt4qQiY9mjPNS1+zJxV+fx%fyw9D;L)!T+?v{a24aa71ugkVO&kP?!~ni z*Q2cVvj*J)hdf7Ufj$2|{MA+A-pHsRWZ>rq@CxVmt8{{?lzwFp-Y zt}VD8!u2?=Be?jB;eN(E|4mPSnVzO&>jvwzh7dd~>TovFTubx$DOH9&p|XmGa6y29 zxbdzsaZ|3Eu2h|?ta^QY1S^jEa075ZbCuC&AxizqRYvzlo*!|Wm=xf@bxQ&6AE|{R_*|G&8?IYdqgEy%ItrgdSofH>a9ZV9j)vuEzq^ACvcdlbWzc?9;840XITo1q7lN%B86ji8g$&IxZm+i?W zTnL)hJ^RDY_X(4ZcVZ&}J^Pa06EMff-6ov~gI1 z3%Sa-V1q>?km*Vb&qXt`%(T)p4QH4Iw6ha%R*XxR6SQz=1v4m_Qa3p9CVFE>XEe={ zL1<`U#IAPYpjP;Zkj{$kl$AGDR96S4UZp}7T|%_2%*51mIcqH%`g{=o4lA7yswpey zR%ZxV?zHgitw?XR(q{!;H2=Hf_{3-+H8tFQT`0Vyt};>+S|stnc}mSAtQax4dFWM$ z!%<)A=>J=n9<}twcoypRe|mu*;;qyKM#mkfXA`|Diu5J)=%Rn;HL3i*h9FJZz7nV#{UMNU)T5* zO9N`oBn$hu`~PhXEQbI%^?)DScqI-lVBfn;wl?Zz>rK#vai^oqz-EG$FcX@oYtW(Y zhKP0o6x|NDaIDIPPlVU*Dllu8RVcn2DDK3q0D;)~dthfi0Jm;Mnq3pH#AsdJI5 zvaXDCqvEGv^u*PuuH`esRvWpc5NcG*0kHR7^$0#0^>HhjsT-|?u zVm=ckS2-6X!gaJyJw5>Jh|8;aP=>RraG4!3ef8^sXxSDp;d-^`!o>EbfHL^0 zLg?SlauBCce;fcCu;-xG<6}$-dExZ85I@_z(tb}NoiPmjiFCq#$}6+&jJuu%OYZkllfPW%V>KkO8?jY~)u0ZFtK&AA zm~<+-mC2USJ68gZtZS;*!dR-yYt>!=;?0fb|C61O;mY!`x*FZgV#N}vFSXtV%F?Hd zqnJ|YG=@~K1SM3ge&_(REY*z8qpO%0?Nt8-1lFn*ks2OASvVa7H^bi8B~{m=-`FS( zXOvt$281beU{F})qfZTj<8Fki2kxw3t$GPiEm~r{Tm91RgjHsm;6V#jh>mEA(D@`L zt;+pzuu+AEzYh4oIIwiuY540__pDaxHT1xTaFvxUSg~w*S<&K>6=h}WCju#SKqTAW z{v>K(oT!m7&i^Tx|2dA$C<`mTyUAEUl^!HZkhG`tn~{Om1iD#{Jd4fq)T@BF*(9!* zhD0?Ijpb!k^@*KQ z7h$fq*uV#olcVhN{O4a9!`Qi$hzT-{5`b)!ht)RtqSjX3q=ttb2mLrdiY8od1dLNKgeE|t^Kh|y3E{UA{QimL1`#_lbFM#z9j2zdZ($$m% zXQjke>lr+jH5wgHMwPjgMnz`h(E?x3=Lq_C9S)5F_j#nP=38%`r75J!OT-OU#&FQ3s!sqZ zSx~aL?7C1bPQ+A{6~@&*A3m{&TUHU?M1xTa#9}OOWhKp|38SY;zYGegh_!iCjDq)|McX5fhj@;8V%E=CH^fUm^Uni@HoiWL8Kq%xe4yrZHv zEHZyVqmcwQD%ePMrSNzNDLe!IcLHB);Ljq3XTUoJUR_%!td1jvXTaaZ6ZhBg_z@mu zvfd0+=OD5Z_k_0J3jo~+oS7hbm>$TwRP|$B_m*{y5aw^C#cx zs@^mM!*-;WFI80H@JXY(6x~grvJ4GkrGy?S|$<3Ek!}E&r zM*~u9z`z_fl~+?G5O};5klAaEXP)f4kd#djI6gl2Xof_R@#r4&L)LAqO4bKS@fa0= zH@U%r=yLjS$Z5$|s8gP@+h8yEj#zu~M#) z(pTFnQ%&^6m8B_eOT{sMxcaV(=(oAs>(ZVD`~Sf89@44R%5|~kyiT1n7ll5BD;4Wr z<+_}8cDG~f(vmu3JFb}`DWzPC7M%ggu`m*mbfzV#UWX{xOrj{O7ER9KDAz14aE%4U zG1WM5oh9^aE%e${Dcsa#&IIAN%F1b#GuNsy2k4M;U8765H67`OuyO@HVBIQ|>v~O%9@l_w?QwQ%&!FAfqr0_dV7K=8hU(T{FY?4YHbj%>gpQr$ z-3Y0Zyknu`WIm?2rsL6f2#)~gQT2AOzYjo3iX-E>@Np|G{)H!p8gM%oFy4!~*h#e( zA1c#($&&!2#c7oHQZ9NhVh|=>+dGOR?y+ul4h7<}C!LIkDR<)XC+Q$Xb=xbT~Rmv_d@-i$xiT@&P%08|I(=0bCW&cxfq$suJx=XvIe7g@N zQUeub7?D)Ucesdw2GZ`->F*tpbRN>&n@)M`5a_*QAKRCl2Ip@Lc7;{S_ciQsz^o8m z%HtH!JN5~}^ciTC@&mTCckGiEow%eaKh(LO0n{uZ`8G?+Pr1S*`&XT-`bl#T?keywnJg+H_5Qt-ohV*4kdKAT^u$W(H zs$=_-Rq8-jv?%4C3!sfO9cWL9PB0oB82n7RS7W?s1LD*vZ5rV-2p*T!vGSDrG$uVQ zG5VQuzlLYpn%%7-S!p_)nbNM)*=Y<`r+itba%3_{`HD{Y*;ahjP^IkAkX+=p&MB*u z2ZE-Nb=*qFEK?rT&`D`==nR$eRShiA`Qs7Gl)Y<&#dHl9yZzcW0nIS^1@`r?3v5oB z#3oa|p`{6=C4?qZ9(qEk3e%hs;*^Iq@gfX&V}bYa4~9F&Ka#v`m=qU<6S$A&=cBy= zmoK7t#bmf)%43)(Df}2$`?}hVL1XT*3=9jEku6zPpTv9x5#ezjD zm8szJ<;#|)02^ECud7w~E2vc-ien@@|D2TMweR;UY^D2Bin z@u6hi!r;8+%jey!E@pCpe$L@@X-RN-VQ}H%rNKgV2?0gLtmmcr@g_cx;`8$0f@RAK zRW6?wFV(!qF;Qxz#xu1L$Gul9UJ_ItqVM@d%jTV-Is4SP{wjDs|(`=WIbHFCTVbX9ITldaE=-g4`6y-ry0W>c@r(YaB<1J z`8ddLrO}jy=qG}^Y58Jwkz}2iw_riAq(r4a84R5y20znX|H=60FzjF{*C(7fIGJ$bFbcc=Ox@9s$g4z9<*jyu`eaD0{P5)N$?Q)UhN4Sc?^#r(% zVujr@M?rTvj{@}}EsD(hb8MM9vVeXF`{;#zp8$XK7s1` zq9MVXg(!d z&s4eI&}ESS-Tr{uhcXH>tuo%Q${3}Gj5qX<0dtZv-!NrDGkA$bght!F8LvH|RuIR6 zuFIK&qIz-ptJWx2myxV8XE8u;5WGscx((yo{5RW)Tm9wA^}fNZ@*}gASdp{S%535x z|7OUF{XFF0`h!Upq1RX{SX-xDNp6vq6@Pp*KIDVS^AL`xFv%^WQM=MF(I{84`w()w z+iS+^%^25M_ie}||5Vc<%yvJwz+6{}c%Js1+x+BiEPSS$3u;e$-&TJ`O*NJ%%2jMKKDiwqHQ+nO z_&$NF%v7!>=aI1i^=k-&3d)BOTx;AM7d`F0TgRi4%2jFdKd~Jzt<~dAaaXNSAOZxy zS`4IhZo1o^_S0MP_(D8ifd9h?s0;_2@xc}B>?_wMlcjRJ49iyXHvgTGP{Zb>_`pYz zd~ig$wwroAzTL(tSCbLyvF$n2#|6}PQH1Hk_ZZBh+x>Nr#Y*nmKAz&o(|fm%zr>l| zvmGz^L9J1f{nS=`Hv%n(@Ror*w0*pczI%*7ySHn*c)+OcR{21May{gh*{*$6&ICwB z$;QuQN#8d4?%j@5?rr#UUKVUi>i-i{MhwlgzLM2fu4fHw*Y>>MUlvevCj`{9BauO> z@f(Be+h$6&0KMCEJ&qZIuB}??-Xey$(vg!S(d zN_YE;oGp2X$>QQ*{d;4M#jyJeAl_kITC|^=l6M*nTj?gF;SPg8X(W5mNOESI*5_f9 zKD`ZU+5|P_Q%$%l*Dw$JuBW|go67s}@_@QxB0lW}ECsqsaPIaZmB%kJ@M{p81ci#t z@v!T9+D~lruiq>#>s*1j+ZU8cvehSPJ0Mzuij2uUe&FwQ%?A^xqQ{iBm^qy@wd#47} z1mySA@p{5T$IH{cn=L}FDHs`Fb#gvzI3F>bI}N9Mx2c>O5Fg}Fis%jAZiS=h)%LrK zl1lKDRgs_p!jKH64!6=c`e_{;9MiF3IwXJ(!-7l}^BECM9S<3}_-`7%6S z6pyjUC!^$Csw%7(Cl7_P6ix`;pC*KFC%ay#ITo4>Gr&`Oxq4o7V zo~2wbJqc8jC;h7^iyY74((7f5mq$$uLQI!({X`g@L!an93!9`SPA2wF*K30HYiFqe z>ptarUYrQj0s>ANQ28WJwm{=z&dLTG(0&!5u#;;hk|n zi#phT!!Dqbym#WS+Z@(Os_kXUIz*B56t`89okw<(d@doeb|R9q$;IA@NWSp9R$6u< zl5?o56zlwsFJ<^aSduTifk*#T$_Snm!g`wk9t=WIi-&}`P#z?Xmg4X(Wvr%@;>;-AI5`?~N z*oy*7jH5BH#L?uz#L@W7rn2H_OvmGBOvK}8LTSa(m~h6?m^Ohk#?c(fcpQzeggBZx zaW%+MGq3Aio;FJ>T0FnBWPVw2X<_j)YH{&W)#N%0x~y~sdPM4g16=xSn%DIakEdCA zRxF>lv}9p$xd>9WVA;|Y%Mr>Q0=>9o*@8vOmn~gZTB72mCUTfxT66xxQjhDe2XfE74?Ji9Bcu_W=ebm5~ZeMPD<(G|H%Df@5!h>FL!=%4;L|B2pM{ z=F+<`Y|l-vj&u07fn}sum&oU#mdfX$luqi zw77WL@)gJuPDCzSu_(A)J-l66nvI{jz)f@MpJvB3bAXXEtz zF-U(z^|7@81)SX<7tC9_Ac(MjAttYZeYIr8JZu(u&w|^Hl5`d>uG3^?_3XiqoTj@{ zJv?@rc`T2^_k%RJwJ3z-=u`w8;QVFixkTJ)Dm9zD)@Ge=Oke2@4YW0TT# zKyR8!CujbN*{?Ff`n0gu8e!9Q&E|XP6}iV|>Y80=l3AHQVcr`=2kx=inSamZQqLcR zI49jY+;gL6w2Wf@biBAwW~&lXmKs*M={8u#Pvu(W*^k<}$L2u+JV88?w)6x*SyZz+ zZ$;`F{xfjC2JBMsfnJfEMM$mOD#ZnS)>(wqkcJfc9A^sbA44KO0UCCZz7skX+=BA52JnV3X7&&lf*} zfLE+iAJouEHgtwc{i+5Q==|}638{M@5Ej!loRON;ul-0sGfaMgef?Jgo8!|jO?^X4 z6Y#|s%?O97)Q8>?szRUhU_$D{ns^b$u9d)#;2(5do~KXpvSEh1D4f7Y&=yC~_<&m@ zxclQcJ^{>LheHH{q^LS0O>=~y_=eypvV$oJknd?gFLW_MVJ%^PgJ<+xIp=QmIvD&n z*)fy6{5z7vBzu^2_)$8|6a5#J10e?%QVatDi!=Tk>#y>yF?}w_iOLg`f9|EW{WTcJK5I^cn=QMSQcN4tsbNG5fTFG@~ zg{#D^7Ei_rS-5=J5_&gq+||W9Ac5CCx(s?@i$Is>=NFd++-;J)xz9CP3NRqzf(3rb%~%Lb^9}i(Q}_ zyiJod31lP5o3@HtY*ln{Y>GGxAT6s!+fp4y(G=*QBNR|^7^l@y1|3>(8N_J^QBnWj z?|II-_n!BrCHkBB{eQpD&)dFv&UwzWopYYE-0iuz2*KBShlXn%N_C@jd&B+;O8n7j z-Im?yVR~^Joz{z6cjr*ME*Pd)tTAb>+Cg{Gu+LN4#pyTL zcHKjU>9z3Uv~Hg63%p|A&N0!O#lh)5ZTt#;TVUt4u(JfWI)l^c??JaWoY$g)LB!)$ ziZ@~{68+NjppmUDQr}E1qY($AeF}LKzsDiy0SeL;Mv1BHC^}OxhDAYlr#E7^mc>4~ z$dA9>9F%EKr>OEN5D9U7;Td*iy`YR1z%VPkOoXRL$tBUC{FCUJpu2Vy0+o8f1j(%( zRol2>gBMJc?DFC4+h7t^2i;YpV2&`&*Jk2mLcdz> z1=snPLlX`B-qZ>mc;73;#Y5d**BK+n{G0-=lio^~bZ+9~c)|73nF@Km3;=^#uW9sLDE=mXqcBLcu~Pc212p?V~*t?%dz~{T+442!yq58otlY6P8+>oyH-OLpT&C} z@C8bs7d3jp9;gFd$_&LX)Sp82J{i5SZGmM5m#QuItbQp~XjmF`R zvFsn{TS52A;h$mdX|Z%2t@*lGvo^<&_*xo#+qd67semzj)nAY4Z`;Pu&&dYg7OAE+ zzAb&QRxJIFW9f^IrQeOU%}Zj;>u{KzrpM~*Qs zJI4H*W6UctV}4?dL1ZoCi+ZAyfT!|IX7CH`EHsDTjoA;A7}7wS^b4o6{=;Y$hj_zi zm9G1x(JGzwrqfx!GFGs&{CNTjL=4n z(3`T*sFA)*TTNorDA%ZqT%$(2MvaLZb@54z%Gp{6lYE~x@$*C5Vb^oku1T(4lWk*3 z>rAnBNpDRxhOCv|n&wjsCLQ+HW!5Th1bxWuGWT)fU@p2HhVUCmykx}C7`l<>y2i|N zjhXKnQ)!IRzH+tEUYny>P6C3}zE5TRn3C5Y)4El-+Euv5DwJMXYZXdkT<7-6^=_|Z zZ2j37>rT=udRref`#vq<=bxs*8ixDO)o*sKX>qM-b**W0t+~myraf*=$4RW=8Bws+ z_i4z}__e_p#u?GZY;}#<<{I-6*O-sG#(c~*=GM3|A3upPxo3&N9e8jEMlGI+=K*0D z1Dt5X?r;tJj5SO;>2IuI;<0xcOSF^xtx=tHlJHzFc*ysu@v2!B-Yd|`*eP1;Lssih zS&JU>&HO)9FU=3h71-{DGUq&OjS@%toHa^p-0im3BgV$H^qkMzwn{iMYz)sQg9E-# z6Zw^}AqwAwwPqd&&BXa+n+H0ct6>Krc0FP2GLzqvp&@#1e#&ImN!uNCd+{GkjkTFi z8#9}FGn1#X!OOmHzhPDd3mHvDH{{Eah5Gaegq$y6;!rX9Wn;1ulmE?a%2(W`{Bc+V zy`22SntUlXPycQVUM{oMt0t>m;iyrXySB)#L{}g`@c99@y!m(l5*1+38(o3?z$|vO z*9-Ck>j*sALsn{ItUoPq7M}ruSq#My2AXWGH2CR(S(nA&K}NDR_zWX=tvAj$TLnh# z)3JAg$$@TEn#y~>2VrjeFqn(Yo_;q51Ts@|NyLeQslhsF;i-YFMQblLrxnwTuBJ<| z^~Lu6vVhX?NDA{_@SZ^S!VUD-%Z<&om&)@T!4(Fv90-2i!3(AvakDQqHypg+N}POA z;>uE-lNqgl2u8tnBFtH;!T&0FZBd0c{u`AQUf0zX-t{o$Qane-PhA9c!6sx=-Cz0u zOyf>`L%^*#5Ferk)EmuJUfFK=7*RJH)Iy#WvL5=p<5oz~=N*6a$`8>ShXxEY;QJHe zIlL6Oy~6YUt^@?$ThMdzJN4Y^x3;|7&3XoF+x|W*guF136J;P6(*#3OX}qGJZA1X_ zmN5RnHsI6tonIS>AlPsX?dI7RUO-R1^azP^-Y5i9{7u4i?wf?^_?v|3Uf(24%bSGh z+&2l+Cw-H!Um85&n}k6aAt607MHh(O`F}@DPTu*?OFxUEyfiDGm);7t{!B&>0h9ry zbSwmhRZ#sp7+E3T+_Uf3$>*1G4TOjm-m~wQB?Ir-$DSNu#D>5|VCZ+~7!^bh4bXu2 zLjz&4d1!#mt?jYV82S%msE0rTGV~wG;1K9xj33R=f9zT!kVR+pAIE?VK@^#x{{(HH z6P%&{G~HYhu7OOK)srccu^}4zS89dF+(Rr5RacWOLU!O#+HSRlabsTq906<5=U zAit+(9@UrvVsztrY8FFX;Nlrku+~$v8C?Qb&WM14o|^MDjkth_c1}5Ju4pPIMqgl1 z^BC>|Hz$a}w`H0=HGhc4lo8XcF_nUuMht?ifaYq!R1jm@+fxe|7b6%kUyyi&wZl^j z84M#BQKfN>?VSypeUY%Q^iIRgk482HZ+}4*6}8P2)B1u12{ue$!7iPo5VfgK^}y^J)paL|@r8 z*BCNhQGO>wa-b0f@8xHuZeG5=w%-K<1d-0?mr^o7Y!rm{A$%V)m@V`va_FT{lWQ294A>PNnbp{2KQ`5$2X5jFy@M9D+R`5zP%CI-3jV(20C zLkuHgFI^*lDx0dAXDYnpF_MpDCgqMhH zn6&(T!iif;`Od8+)?43NGH5->@((b)jegtJpv|o%LF3j^e(ctgh`_BSjT8Z27fRe( z%8%b#5>nh+60FcZC$zk^r0mrY+n5XUKk-|IirQOC{FXR-F88D%|28Q}=jWXN=6_NO zlqrbcT9T51Mp$%fN$>^I#M>oTgaN^qHuAeAKO|pnD@l$smcI3#f4d-x*=Od?l3+$c zKzO#1f5#Zp+3~Ak`JWN&*!&R7J4=Er6aMf_Apg!eTEry5b4!~4x78Xo&G2j7T_4c6 zD_|iaOy=J$)>P!@+*!I^Q_aqgU(?9{tPs!l&hYb4Ucf(Ch#52of6*^z_%u%A3+Rdk z^gWaf2KEg9s<4bHpgE=GrAu`oO%+CZW`Uttpv!1-OehJ!R}bu&J~K4d8nYGYyfjDP z0It;>M0(c5E3eEyjr`z8kkEIKuqBaKUh~r5ML~a3q{Fvg3uD22Jmqf=&cgPrXg%-2 z`tQ>jxLy$lr~m$dPRpG{UYgV6Sus{D(*Df>g9V-K&&KlyK6iV!;P*CKjNvcO;Tu|K zvN3U4=$uZzigD&f2*IfkS-^9)Px`0uD+3i{Won+YEf0DLA8-SmVHHhvp%DzxU$)7kGYcd3J<6xM5&d1x)C*o`1Lh2n-;{RrqZI*SLX3)7_Tq!fXpa zYb2bW|A0@@3zy=a6Uf(E@&_#W1#$9BBRb@9?ds%Mjo+K#+F`jKw_F#-ReZxI;ji%< zgF5`u5`V)G4{bxq-{W@#CFjA1zey7M$hpM+7kt)tXJXpBG|uY*?$&e|9QxstDXYh^vQfHehO`L zHqHpO8@(A5@T*XO(?ZQ;f6FK9Gx$}2eT8LzE5`ookUdAg7VwTw=7JTt69ECAu>#(S z3HZGgz;_9w*28HCh7ev0CG*4h?T3IrKtM6a0U|}oKpT1yikk5|hN2SmQa?Qqv^Nm_ zAburi<$=DafjbP3jW+}y!5gibg*yI#-|Nsb2{)~@o^z}oZ+JL(@HtVum{siSu|tk2 z*Wmg+`sN19RUC2+W9(>CDd8LK`=not-%9Y@Yk5Y8Jdvh4zGXE|6p^78zwKap$uf-# znTEw%zoR{)S<8K&EPL^LmcCP9YE^F8*fJAL%QSg$V>SO&-zV=s;^(1xueSVCEk9WF zCjez!A%d5WFia%>J$}=`@(If_-Le!z<#f|WX_uel5<4l+pXvJ)u=!eC(S?v7S|Kyz zLS|Ya?Dbh;uR9h&Vn+*JgIwtQ6!sQ=yCIMfn05Cqj0;>C7q}=CIKp17lC7J+-uEfz z(v`Tr3Rw)^tYxjYvb>NZcXQ`A_&)ir!EXrqkkOqrZ$mGu+dd}z(rk>qplarm2jpInFWI|$DCmUC0cIWlY-?$5Dj#|28= zZsZxc3L6FRG0L>o_<@iwY@pn*(|BCjC*Sw*<2$wtL#=r~VtGgLbix0q>79lW`yd(~ zXtvXHDBVP^#@od{1zxinTLlO$FEm2$v_gw@oJPKe%rdOlCGFLCC-|;VWL}ylp`l>e zLHqZkOCa+W{B}Vu17d4q@3(Se9Jo>8e-5u~gLV&oM?lYr*_!@yNqVyj_xGR=K>ic_ z3ee*WsjXS|#8`4-Z2MpFebV2x2KxZ;)D#(>uUH;PKwN>Z_$*;%*W>Z+$4y;3OV*d; z{UVcVD_K7QZ%f*CC|N(zL;M7@lPOt02{8psuC`?TWCl=>vUS)^^1L~0F?$l>KkxfA z?2fhAZos%b*0|@bakv``o+1WahiwSNFj}~7mzS-Wi+BMF zWMWTRUPXJ^w;Q_9u-Lhb|C;Yp;K%TL6G9nmTnl~875bVh^tGhW(9~(3|1008z-RFr z18-)maxL^%uFzk(LVuMMsx1xAny@tcl=)ly)kJvJzi!r16q%J1S*NM_qn2kQHs-q8i!Dw3(K(;^YYk>U>E_aa0xw7M4Q z1!l=E<`o>w*ff3v_!{Cr1n!w@@EcoXHx`Jf91HVmRW|())e2!%<(bot4A*L2h zVs#V;-k%^gQ^M!*+Yc#x0k8xo0}}(L`lZ%w$I9COcBDshBR(=Z&EPr8)IfUynFp=I z#smTwOuiWB8B+suqEXBfjj4e-(tE~jPhsy#v*TA!1cCyC#KBLh4^8%k@ zSK?QUVaTZTx`y*?4P^|?3-l$WxC2F(WAMhiPXYa_AqYYk#a;`kvO*%gv9R`jP2iK| z4g9u)t;e#}ShjQ9QS?>(*j$Za8+*fXekwt0@t(TwCCV6CgAoQfQ*c|nxEbdhTLLC= zB8FG(I~$lc_qa;ro{WEs68hu3Vf=*hQ%0wH(K?(ta@*_iT{Xi-g^GSVll}_)?$MM76$m&4fe3Dxwijd zBOon(@UZCvRf5a$SV-igy}Rk$28aqEs>FO4*M!&nydl8)*xY7tdkwCZerB#`#=j}x zKPlGHvepgQdBHk8LrdRyIc!e?h{M5bBe(v{R#x^8M^bDvk1p0ZYyV0|FtfZtJuZZLQ zf71p14SpTFKxScFvWR`0*7HkJ+NoKwxA1!fmHQ7{xssHfK{wzOs3gS#PM`X+M@j0q z&MK)|iBUBWZp#bDdFkJvxIZuPjP#?gJ~$tQ@W&uDC&mF4uW7&*6*DY95^>RF_Q;r& zOe?Mc+>Jue390r(VJGVs@T&qlKP90%W}>xSgiSQU(&yd;%3b)Ch$PRSY>GN#ewz3U zg+E=|iF$t7gsm-f@zWGq*L17P1QMB^GBeoF?}GgH#tQE&kdD$jghfUh&gwshf+dhu zqGNv4ngX0KhQlRphW_G(|1B|tCS2K!eKO48S1`00-?C;vK=QK~{!w8i+iv{c2J5qy z^{8dVOWYnPO;5|vIA*$*D~>pky~r;glJ@))BAQ~~*Mgli7L7Y_^Iuza!ituWdm_aW zc#*ixiPYUXt4fSa9e7B`%7G7V!Rsu5Sl4L0fGVPZ)$?$54r@NUz`^IY_fdf zGbQP}^-yVmhq9OQbbbK+l&bU<(9vH1`;gX1ir2_Oum6{k4*SOWgor;qt%nh7e+0h< zv;aRVBJPp4!${_`>1nezNQ+F@c3>NV5smkYD$?(01Di@Xb~;Obfd|S+xz5xUPmDuHrXOz!AehC6hW-qC z{(4h|_x=*JuW9}ULyY)m_oHa$W}KeIiaW!WJNF(G1+da`-e!tw;S4X~8erhf#>MxX z$2P{J-^bV2)QoRO7&16h2NE4^jV&GHb*T1n6IeKDoXs#E0}zrQk7rfLT3V_lNNxh3 z`}os@@)?iX@r_faO&Ql#GfqA#X=HmxZb4_`_Xf^-gR}%_&v~*V==EU@T;}^T0>kG% z+7X=e(GD0BJ=oD(i0G7`PIq7~>X-cVLE3+dUq8rOiEkn-U$J-&U;WQ4oHOH^IdNpw zie>ZpV(gNoh|?9(uAZ}WNoLN<<#=;Fb8Y4F`I#Abhc}4IS1iVR=L`9g|H3(oy;M{% zd(NDy%uKwcK5Kra3h(n*&RSl%WHF*Uhh`)SGxPDHMKGkyJKe?2TDW|+H^{}UoHc*mOz(^cxvXkoB|>TG7`h`%w7wK)+pR*WI zK!!yW%istnp(=K%RxHLV^Yaj!R(D@!Nfq8@M?lsRmr8nBH_LFhgkdEXA|NR`06{R8 zc_ZB7g-d44F3ZeZm8n{Z@USDJLK(Q3MU~6Y4;XRc?V}i%8Jwh$Sl;d5A`1brdkMkX#wErppLAyrHSPBQ8#oC(n$snpY zTbi{gj+!>pEJzx;5>tp-hjf1CvTJ8lnPo>Kv=#lZyqgCTg79gCeqjuupkpGoFnNgc zVqyp}DKUhYs+<6ggoq(T$QVKzB8CtlV+avS3?Zf@hLFaEF@%_t7!apv5*9yL|iS=CO z2Utr6T1!p`!60cTw#A@AKWe8lw5&YaYz0&$6**J0qv;2yot7;egZlF$6-A*L{b<}- zMoYiSLTWkNSY@*3#5*8r{vmGjpBtx&chh-pznqV(sS&&B0^2tiLR<_#v=o-3YW+qJ zj8qOYDq$FTi;ZNHEs0p-`iC3AhH8ZIfqwLXk=6%BF@bBB#yCSeI;<1Sh|3%kIoHKe z^{yIt#X!%yWU_XiOCwQ&9~&p6`QzdgMEo!LoAFCnjv*6vuO3j!^|E}|DNeIaF(Bm9 zPBGB+g43aZ{i78ODny2rJtLH*c?w)dI@5ap;C{MI3ax(^(Lv#Nad$r3`usVrUk@=d zF;&nx&yC#tJnQD?gJV!MFfNcDxG?gE$c2WwE<}$qwbC9HcKCp}-<239m_VxGrsGU@ zgbekOMxDbsD(ZA{UKE!fcc{^J7>qFn8QB*{LgVC@M6N@z^ff(L#J;hi7H9N~i=*Sx zO5;OfeB|0?GAPO;W#Y*b;t1-0cgN+lm{RQXbTnt`$rAIuoiqDOL#ogZwfE+`-g{cy zXL^}1UFR9(I?ox_Aq&JIwS&r>IoLW=VW?iabdgaHzo+}16(473hb`)K`Z=z<48bKt z?_Ar#xzk}>M7&cj=)LEyQ#%TWMtwG{H>WQ)2AOHC#C4b95lh^F5us&{7s1WVa-1_K z($!-_=_2FEM(1c5lVjj&nuxP6oI5G%B;FKxP3kOfG-e*X;L@S`g;S919_)11Kg8>P zRPlv7-%doQ*-2+W)FxLA)IJSE{&M`uE$}ut0`FS<_5I&r^!YfL!T<5uj`s15Ej3Nq z+Pd+0k;023JP%*Ej6M(w7Je(d6gT5NeD<~$PjMrSyN&4YfFHd~o#|&);Ssf?0TDCP zxOI;|YC8Wujz50h)p=@EkJ_6WtnN+SRICo|-J}lh-MDq{nj7j5FW8xy{-3FN#i`Re zSEmD&LAsYE!8y>DroAi^{WE{7*HMVwIz~)P~~Jn0wWs?ghb!!p#fR;Y~f; zrcZ3BZ&2S?hr70JTooYD11{^c?)j{1uXV@Oa(&f2=d!oH&~6*XZIpNm@q>#S@!dUK zddDSsp*y8S5QhJ4vu~U@p}MV68e4z*pdHulaiEE7>oz2tn_oDnW7DTVgHNzlvrCX} zY%w?q)NEe=Z18YfVLM4Dnlo*J*p)N>C6Ba4n7Kc320_rmT~ zsX?Vex;;*VbJnV|tsD1!tNQ^p;!~;3!E`*M1$(}hmwKNnwG2h~?n`an zo;s}PNQ`JIsyyrdz+}^!=_n}hL(X`W% z-FI-a%GRsH4{cN@H2;6*siQ~NsH632-|cDv%>N;JZo1laJCgd}4sd=4?{UL6g%+yc zR)6^Lv3gacw$`gJ)*suqb|>D>T&Q*(P+K*r>Vb1z$^6~}!N@}OlscU4KE43ms{UR} zxjHX(+MbR26o^Megg~TfXz?tu%qiZ7mV;cD^DReicwj-I!3r3wq7;{Is0*Qi4@sgny^F>-wsE&vo zEYn>VOo(e$GD@$``;z8*Ht!H@hSMFp4tB%rU`&xZu8NLjj`titfbK0+MMozcyFa-2 zEOkuv9G!?RDmpshSStVOW0TO)UB@P*Zu_7bocf`6Me1(9_(qjwb8dX}@R}eW(`qdy zn82HM(ka%?bC?(rS!i5&X_?oz$Kn&7K?C?buFBLF}dnk z)0{z3Sv#2`87_mESn+ypoe+f6ElywMIjwQwY^>#mdcyUSaqm)(D8Fax&TKc2bddVN z#?ty7^*dFO{(si-eW`pPb{;!g*1U1&4*2Bw`&8NLEGM!1)Og+3!KlG`YWh|^`=vTM zQ)lkk*|Txggs!gXb-~PYH3{aJ`)**C&W7RlVa%;M^=jS&b-~;s)pb;7H{@;XzM(!S zE1dL#+S;{K4_3OkU)dl7w=-{NcIS?+>E-p^-LT>4Asm>Zvt0Zkm-AD6&g4K#9eQp$ z=G5*TS#{vKU~*x7&+xKC$SSg8p3r_;n1d>Wvrz|`4r!*YomR*pky5Vq=3s`0d0}B* z3joJxtrvOqoXt(L@{LuYK9kQar?iM$41P}6VOuZ-;>!<228jWyd-k$HWVnv9Gfe|l zTKjJVMq>Cs^}b-dKbn=eoz*^cR975AFgQ=BE4$0=zXMT4kE#Wls;p;k>fF+u*=%-m z*U_UpcVfZa)ziJ>IaPxBWR2>s?^)A*Ty4Eu_tVvR_YKFa+Oy^XOyNCip4s|YHN3uO zHPjqftDaYTcdDK>YG2Qqtysnm^sH4q$S&Av{_NbPJtA*UPG8?}^wHywKDzI}4jp

JM$!Ijff2YjweTtnGl8mS zs`7q{4NPJy_uoFB*g4DW?{Y>l9^chNACH+}z{h6_q{Ep4vxc21xKpzm=GX*+NrSHm zK!#4~Ss|P#pn_X9zOk7q@aqq&`m*eE_3HW56&vci_o_ntD?3bZ1n##N=_6$g4d~bD z__rFJMOcKs$IF_It5tA}Rq6=??<;FOy7%ZtY&njD zdx3iLIR5M^uRnfx0TNGSnS-=KwLpt1t0x8nkr=|9?1{rfJgM0xL*xOqYb!+$KU{_; zL%$a19V@Fp9P-DIA`c5Zwlz$bp$gTN@ox6?S@>`bxh$i zYqX|U^SXPwj`mDOQ^2hYv5}d6Bx2X8y_4%XeI5EX3Xif?i-0bJwOnnx&d87ng$-Ne6#^xqxEgX>{TIdBboj}ou##`a`9`bqw;LKj_m zTsn}QS2TSBwjBHPr2bX3lR8W7fQmBxOLYX7_^C6B%eHFq3RC{@!@-22RQ~qV#$c>$ z`LH1x@Gq&GNLg@oYCvcAMzsoCG;H5E2?VnX*+Avr-?)!1Qs2F^tntYnl|4|u^8mLw zqY6_u$#xlA$HA{+L)~>mbKZX#Y^(P2k2=o3+Jt-ab|JmCJ9~78`u@&^DeSk!3Y<}# z@nLlcg%dXJonF?sQ5}L2_xE%ie$8kaQHa9SO|m%!dC}Ks66eLktIDSH%)rmvp7*YB z2YibgSk4zh4A|9OzHy(r>e2lTM}nz^YQ&@a_XeeBtC`m0=?}YLPEI~`*1dGwe;BH_ zbiR6$a`mpvSGVi5p7oTTpFnu*zaD+`QAmIE1yp78s)hz+AANNHsxME28Y*^LTkE=7kwvZ~<*v}u>xhx&eWAKW{bau!>6r~U)SV_H`Ur$0=q@%VfH z4xBHHD!fY=?a$}NJ@9{hH4WfPyiH*b+f5&1kA?tfI%7U#Wo z!g4KC-97N<6AGJ;!<#{~X;qMirQsY*oB`g>?`4_z6Ca2>)l+s7SZaLZ#A#E^{?vVL zZhd15&-Cp&V$SjZ`wYP8K2&4nKt03lCRQKpXYni`pZ>#|Q@_4xoPM|)bjbeY_HTJJO{He}U!U=hpu>~@`ZzEc zuLHzrQlIl#?Ah{qTuo{QrIish>SC`qz2w=1AFn{25#w1PELtYZ`DNuF!k?|o)R90_ zj5PfGfbr%S|D3JqkEVi_uh00VHa(QXPZIaU=z_0^B5Mb~FMK3kw||c6MmzZ3-!I4c zzvS}s3%)PL%U^KI`7Pe3gmNO3e)_D1c+;WCD zzbsasm15mL6tbp)4K z`TKM9GeApatUQ?G@-qU~Q5X?#aq{`Es|M5l&7vKhj4S z&16W7->`>1wixAS$I6%H)SnSbPGvtMkeo_B!;PHEK1LF`Ii^3@m+N6w@D6y7e2ms>1tXynL@) z&d?a~F&KQnEoX>}Q|V_&i&K>|e#MlSelt8m|6r_&$7AKeW3GONi}+o<{MS-m3>^KB zaUeFw$~lL$nK{JLFs#GLXly?-O2dqp9McS;os70HAy(cZW`yO8qi|WQJib?gTK3^W*YoyYl(=bX~l>+AUwx zfLEjAq z8>>4J4Wq-8*K@Tj!;R}s`m-^u2zrGmk|vA5$@pNcr$1?h@W-1xMw>R@LgUxY7{Jn4 zrz6wibJpz=qgKmCl!GRQU-b-&4arJPHY_W@Gh5wctweC)=EfF&iJf<2TeCTqSl#x6 z#GbJ}i~t`skFKI_C><*Wp|Sq2J}@16f!CQP#872g5iS5C@Nl^sP=_A}4bb{vevvNk zOcO4Vv?6>9^Dp@bf34FIAMIvw#*DMZt@gq?#lKC1}NFf;)A zV7>r9@(~V}H10rq-p{n|tRnom*b&x``Ck|u;Tw`xgr~BTcGnr(3F{@T2!}#C>qj_D zr=$8YUu@?vcT&~lRKIT^# z9O1Q+R)k*~Abf;>r_&K1^N$%E;g=!Ud21m#zG1-5F|Dw}bMR-{7p`FYhF*w2>NLmq|p~qrY z5fp*t|6rX73nh(bxIM2*`*>CnzE}J`)W`f%gCkrnX+?NK`*>Cn{+IZB$jAI! z21od|q!r;vUETzAgBWkNMvi9O3UItq32$evNh#^2N}2{g{8q;0Qk_X+_wm$6{6y>JMMW z#sl*=>hjJsVY8$a;b1)$vx=}##${+H^F;`Nm>!E z)?+xU2-nDX4*8g0YjA|uOIi^g)9I`td`<8nAM>vp9O2I-tq4D*$9`53ZkKr=(-9x@>kN)?y`&Z456=`n!XN2$#K-*021odcq!l5< z^idz-44sbnn4f8IgtH~B2uBqOAK^th9q}hlz zULT=8!rx0;5&pURGu-Uc{XmF>mW`Ag$omFIn3A+29Iw+^JZgY?3qG`y`Er9JoG58U zxL>EUitvkq5BZq?lED!^CTT_Z=kCv*)oV;v5gwBDCe+9La|TEFf}|B;KTy#>2@w<4 zX&2`E8ysQ2q!r=)I-OO74+tKA(p!B&omzUUBI6k8mo`_Ul<(W8U35S zt`dC6$NXx8BU~$KMcAg(Sw(o0;6py<+YOGeQ__lXw@zmj;Uj_%`I!H_!4d9}v?3g- z_ZC@2I7;>zAs_P>862Vh+6c4~-ly?dMR>nXNAo=M4;UQbLy}g6|Dw~_UjrT%e5j9k ze)@sFNB9FtD?(rINwP{qosRVJRkW1B5%!a`A{?vJSXThY2|m=vJl^Zn`UuM;tqA3u zC#%nSRvH>RZkbIf;YTH{2*0D#Sw;Aw;6pyzTpqdf_AI^ttKWpIT3B&`U?>NMIDkYBcl z&lAj-8XRGnq!r<{I*s-OTq*dle$1~jIKnlOR)n9_>8v9BYr%(n%*%eUGfimrhKg{G z-m7I5;ar`L>c{*%gCnezv?8n+B<(^tL#HD?=4Tol;cQ7O!msLdRuO(p@S&Z|_ZS@E z6OvYh6Z9T0s|Y8`elO%>p5IMi8xu~Ev?A=#>8v8`6nx0XeAeIyH%eL&KBUuGMfkAb zLq6s|XK;j%NLmrTtkYRV_-}#_`Ivvj;0S*rX+>C%3qbTULWYWu`wR1p21j_Kq!r=E zbvmmE`N@MgAM<}@aD<=r?ZOi?*$*)$^4fMj_`3wE5ZuBXU0AmaE9!kLq6tb z8XVzlNh`t?I-OO7*9bo3V_tvi6a7cHO3D@CS9Kcx0{At-hkVTU7#!gfl2(K%Tts7g z5~g)J@=xab8607MNh`uH>2y{R{+-}Meat^*aD-o$v?63ked;5;OU@5MKIZQ>IKt0L zS`mInpA%p{1AI}=4?;fXziV)WFG*Sv`naK{=Osd&j_Sue-fTvBXPU5|q!rvW`#`3nq=aHyme;i_|mk8rh4M|{k$F*w5OB&`VV z(`l@mfcFbNw3GP<436+2Nh`uUeJ+(%g#C3o(#O0qIKtB;tq8Bt>8v8WR`8)d=2sdV z;c7`M!n=k_{RnjcTeP`d|Cql=mv^QK@0GM7{Hac772(eWAM!E(bAu!N4@oP+W#&2` z;qsj8e9W&fIKpcstq4aIi=BiQ>2zc#^P>%p@M1|T!mT=;RfO9FAKJc zpBW?i2>(W>BYn)@X>f#hNm>z(xLEiIN9uIM$NVURBOEPhMR@sG;Um04rz1Y^FUS9t zE$~5H1NabrAI14L&$;dKE$quSV(;=-_}zw|J$?XuZ7=wLKMDTVSSQ}WT>94-SKav8 zqd(@mPvG|{{I=s~kEQ2e>|;(N?T#4zV|d8u9sKN^W9k0_|JPgK(?*2v6?*}%3H5S3 zXmFawE7do01x1FG|1tc{Gc*E9^<7&@)3Nw{;M}8heMg7IamwqJW&^*jfE5wHKl~B9 zI3F+G;YM!#xSICP^>y_KZBRoQU*R7dxR8Tirgi-dI*UJAvd%n@zw6*_+8&MhKK^dU z?^JdFCCZCoy<2zTqRsz=a=3Wx`19%xx6e6B+ochA0WWQs$)S*Jf77;7_tG2i%|IMc zO-oH1UIDDMVQ%yeTmf#V+oYvIR@6ScN7QEPZnS8s*dM&lcUw&4 zu*G$>T(Df~y&i%96(Bx~Hufv{X>u)eLnA#xc9sD&2 zBh2;=(b7AZ?0Pxc4I8J?l+Q+XM+#Xu2lK#b7-)9ubnc%|7#ds(0?jq}YY;KQy9`Qc z8Z6qq(0ty|(2A5_jK8$rJ-%vaaM}c#WZPJex)J4(N5w;wz@ut9+nX{q-alJUNSYgZ zT`|fcuX_eLK4ByyH^Wa=BlquC4$`0e515bP3{Nl_ z-CVc^2Dc$52E8LYJ z;z;56kdab@YsQYro*gO0KvPM|-wtt{)!l<5h2uj;%5LP6p2v~G@gXB+SFbj6q;P!5 zNcjL#K;#@8DI6a%Qm#cVNyCv+44QWvDYQ~X3Ri{X@daZgF6yA}wFv?{njS=nj3yHr z0#7r^>Lx5-hMZSMh`02%R+8$wpqVsMXhiL+0ADc}83p_v(whzqhe!EEajpTtd~dYT zrPZGe%;{s|m@&Y7*1=2xW;>cy{F!VEfEjy99HXD@xipTs88k;6%x8evHa1T41z@fo z7sosW%y9>E1ekkD<1~CG;qviu%o%CVd&a@=8Jo7UIL#zr&Ml8)<^c1wgIN#E;t6q@ z_W^Um>-V4j#9$9w^plBsdb)4=3SvlzRxl3u2_@Xn>(q3Le$k~6?U6yO3$?65nl zk-$L#&%t)Whq3}G;02vGunE@K0(p6|@ zfP+v(B`N^@(Q6rbK@9@w%+Do&IhL7 zj5y6$V6Jj7?*Zn*nQ@v0z^ryK*8=lv2h#w|CuYUD-Vcm7JC6AzFjqO4`+&LC!SD&5 zXB^Bkz!c1h%lQE?4?CEj0`mt4!>8+}%#Cy9!zmU!m~()sbugC#v)92~4$MC~7(Q3@ zdk3=)n9cLzx_FQDErWrJ;P@~wm(CX&!Q2JRH4f&Bz}(_s{t=ix4(4BhIqYD54$R2P zxa1Uu(f1t8U|_DfI!;pz%qCIctEq0~1=bg0uoNXi*&V zVPHPyVD13sSqHfLZBat^lV0d*d`qfO*4UL^AK#j$9@*f~f=M z8VAF>vm=+s<$MH~R&0r)R=pjVeb>e@yf^!ngZT$w)~t-v90ukK4(3f@ez`VIlaGbs z%Io5o3xL_}U?u=_94F0Di!B7E*f}?^2j+d($K~7%%n=8}edNzK#A$eEcT>H^*!5KA zOs+e;a`_#0NO;)wbR96-9zPwH*~Fi+p7MF!)4-VW7t6r3LJZ`3`qJfgJ^e}vb_Bq< z2iMbQAVk*F(HM~~iCs^3oo;R34L?mDTu=GliL9qw!f#6uaYy|&dQsL>et$Z7a6L`K z-KZqx|2=ke`X!V=6lmt5?nxT1r|VFT3W25=f0IqbwUXBqP&Lqu!e95WeY*Vy z(U9^=8+n~%`=&DNSn%@Uqk0*2uOz3J#=$f6-^-3Sw@L)pM1qod@Cv+`O=xXXi zy`D|z;*&p)Crsvfyn~rEKcOq#2VE~Fbd5~t+Lq9@HlgdDKIl4<&{dSs#cM8Zy?FNG zV5SuJLDwq@UBwAqTN1ifCUo802VJixbnyvp$L7f^6S_D7IW$vNA}g4akFPfqx>TZG z4GCQp30(*KpzG~~t}zK+w)n^ zUnF!bOz0Y!(8V*Qck8c$gf1?f!e!U5bqQSzrs~j4x%CvfxN?34tA4T8Rov_S9lM?i z4cFY^;DX}VVfRS2rlhy)34ProeFOGX^}!yYp*^gxd;E9W^F7$Jt`GJI&HubT$6!xe zAM6pD|9N|U4STlq!5*RcpS8#O>UM1pbzuV0vqR(`mgder(Cq31&F((X?C%53<9(nx z*awNH$i z)-fKs3vT%PSz@%(z?0T10<^99`r>KRMBQ&&mU{aTPGHn-1-pyv7 zMV_oxt*(Qou-Vc)YiYvK9cuTi*M++5)yt_B7}U-focw7R>|iD}!9oW!<@NBK+G>CC z&(c0Sq5aN;_O^ufcOvcPsWYB0%RS8v)i>6;-OLC`V2{{Z z^fsFQyZThFBu^Lvn{=!CxJiL45AnmCnouv<_E&HBIHc~A z@3Fmna+<00t#A0eO79-_UN*0ur!D3G&bm`4uN2asF@0c8_^36*fxIvC3EZW^ z=`Lsv50JYQ4vBuw;f(@&U*`N?JP|U0{VGNQ7Fo!dg1<>J-YfYH91JFb1~=VuXlxs< zg6l~e#-4gbh$8z`&A!NGckZLT4$Lch>=Z+nCQtnNJ!syvG~vuIT(po+ zlT=s&nu1f%n9+?VdvfO0 z$(tZd&k1&Zo>Y}fGl8>(Lo>M#R|}^WB=%!{&^2Y39jDfwsYMAnHz#byZDH5KDSR*A zv3cr{gq$r2Io#JdTvHAtkOiIYPCn2XZA*U!IXIete zu7n)!;+$GcDNe|_A|dC&gq)iba`-f%BYA2?LeB1loGl4CS0v=jPRQAlkh3izrz#<5 zenQUvgq&Lwa@Hl}EKJCGEFtH%gq)^?oT`MJ#}jh4C**V{4Y3UwdwZP z%7mPQ2|0Hr zLe7f`IlB{b9!tn+O33-=gq%GIIR_JR+7fb(B;@Q*$kDMc=R`uz3kf+x5^}aD4hi2+M2|4M6oF69SR3zl=O2|5^`Qo$l=r6Zu{&>$SF$5`9(rbXF|^Ygq$G>Id3N9Y)Qy@EFtHD zgq#xzIkzR`Jf4tKoRIT&Le8BDIR_GQMkeIElaRysP;NUPOvo9NkmKc!lqvfYa=wv} zGd3Y7osh%$impA+CghYQNXVI#kTWnLhi^r>_Pm&oGc6&fAR*_Kgq(j) z$hjgRrzj!k7YR8>5^^dMa)u=2yq%Eq!-SmK2{{)e_bqZ?MaN(isXL*W}rFihnx-Vb#*9{ zyY`mrC}`~2x^Sfj;(24op0k0mb*DX@?KRD9p4V!> z&N@$?lhN&iIiaPdZS$>;%@=@cxg%!+o8PO5*)s!}PKTz2H26h*u?@K*AR}MB3z&*f zSEjkH8Opul5JN2wgXUIe{`o2}ZH}(*1GCG)90f+60k*w!0+g*nD+xy0h=R_`ZzH1?166Q=4?xIb(<%5?X88kQ;xa% zEf($q=2l1Y)42l?l<)K(rcVN4P|Mjg2tZfiS}8*Y;m+#xw_zowb?dri{lCFL36~h z@Z-P?32T~ZYi$C9C(n;r?SDhb?J`@4_J@J_bm&o;8hnkRwZJ7c^dKeUh&=oyV5&lUSauwk?V&DWfcQ8K%<~B!8K8GzZQB5x(21kUs2AG>et_;4k z!Dc<^jGY@nv+Jh#>hM8e?DZe9@HSxV4j(O#56;#?&q}9v?gq^f$L2?XVb4VNJPFJm zhlVlISc|9)Ujb&5L-PhO^vsBck<=oZ3>J)9&c>Kcghub98rr=vj)jHbQV!-qU_Kpc z&vZ1_w^TQI4IvFim-fRuofex4u4wNE`@K29yy#fC44C~6W<4<5LS5wA2#h?>Pk-j| z8DL&x(}U6Sf$2oOw3? zR>WwA0>j;+(cV_q-rm~oO$*zH^kYF|&mu+pdw_Y&(LN6tc{9k?v>F(B_rzk}56nFd z*T;c5=wLnzj2z(dF(f<`=Nw-G%{GVYX<&9cnD0|gSochIO-)@}r`P7x>lkRZfJ|C7 z)703}iM_)*hvs*ndDW4ee~y?F`TR^^!aHMbycD81lo((ainZ}BnBhb&%B<)!Y8qVrb-D?b2bmo#Zn8=>)1m+Qg zp}IgnSK#W>X94KX-AqRFgosYZX= zBk-}b_tNs;b}SswE6uB*Ip|2<=txfXDd&04&yPnIHgjhKKMds{BK=aXizQYi)@iwR5OM&4L^+f|Q`{OgoCxEffct8P;UBDEC7827#u5eTm^E@!= z&>mub1k4e~=GVw&j=<+hOHME2Jf+$>PvNFKho+{fwWAJeiX-_QNInqOlo2OO>l$#X z&nJvZYwOl$>ofSSRZBfSQf0Dj+4X$>D4y@!+!o~+UoWA@>Q43H8r+`LLCk8 zwvYxpBBRKt)w{o0OP4R}tZwhb-Yj!%W6L50H;SV&nK|<_bC=FoG$%82&b-RSSST}@ z%+<4&W)@a1TP`_##vM*m&nd3mR1h@8*4E|VqbA58<`+T^Vd-tdceAi3*NFDInl1-{ zk9gJGnAy3PT$xjtI4*v*R^zVDQ#`vj21+* zMd?guU2|I#Kk9{&8|z{v9_(-I)L#tC=x>v?wW2~D9zSK4i{-N1RM%OT#A_MRch9Vh zY#W|8tIOmR;B2s|x}zb}-r9=Rl{B@jM=RWD5pAt)Sut1$>O&8s>tNl|A4|jIV@;X$ z*`^ya`U7bj>QIE44DEa)E~c%|$NA=kVa1pauw}F=h8d~GF40laRoC9p*xKSE^+LvWo;cwo1=C!7*n!;rJM!(<(;TGv z=9`0qH`btYID}mZa14xqj*Yb$eQx0}aoNt)Zpijh)LzGPQimEt365pkhE3d_&8fKv z)bmJE8|U!eT1DfrE9H2F^EnF00j_SI<9-@FLIPWNOJk;%f3+4w@qT=^7FFCt-) z)@q!w7WInd5gU+BAcb_yI`n$Bg(*S0xlH2*IAyF5EoYc(+B0o1zNV?J8ncl0e1mdn z=)1W@#5q*Wy-;bKLxmxNev8$nBVHSf9C$dr-iV+5hU3TN8*4Eh8aHet5c z1UgYyx1qYJlOAhWWZ0UFJJWz;ePcb=yT)n^WG%^#6>CMdC4&pHR+g5pFVR|z-cZR$ zC%N!&s>BqguREEvSuHi*NR=ys8GceT6pi~0*y0&KTA$s3`8?CvYG`orq((0ZnYoiN zC~7)e+gq~WjqrlhAE30R)wNfnhr}h@s_QxV%jys>Xs$+lRapn^c(29|!5Y%k%3NfK z$?H|EqZ1Q+Q|ZJBWs}M{0cgsoq)Qh~yew3^!L$UYfe;xRHzCe6kG2Qhf-Z1P!*i}1 z8(T66?h3so)7Dc`n6-T*O37t}%)5q2}h+mI^LWye7=e7cFaTudA)%@+--u zb=4hQ_arwT3nTm83gWe747B#m73I*!9Ime*cxp({(%NElx}=%5y2gpqrm)id1SxzH z6Kge;hgMg2c2?IkP$nXIiFsN{#_R~^ES??h2TcaUBeoGl&`rwA-3MlBi7sVfN9mNw zlP6Bbwk(#(WEz{>GU4t6x5vyRqEDpRUS?yWr?!eoY`>)|7B8>FHnnQmoE5W|WR}iZ zxMWteNsY^^t<%-Iv97(Pt|?R7-iVn*_kkvov0*npRBiV>S`VM>M^9kKELESqd`WCC z99N?+{s!^OQkQ)YTjpB-$(H*HI?%kIi7HLW=dj@(h#0i@nn zyN!(6YrXoy8YnuOxT`W2nu>7Us%dT6&{&^sukOS)N>><_XzS4ZZaAzc>oC$%bbwN0 z6x_t=VD7t5Vpfa=-@V02?Zz8Gc@qCO^95VOelS<=lhia|D_(<*72K+^rbD+n=blXK z2G$b$1dbvsvYEJzS|RT+om8V~82MmtrmhQPs3T*(#j6{~Rl;Sey{k^EZ?3)pCnVV3 zc5KFs-)vVVaR^KmnN3Ym2cX8pH1>j#3y~7Bggo^wM)y4RYmE7j~~Thi5^okv96q42F@k z2x?u`-dZ!Gwicb$K{JvV(;IDZ<8=SDnajXNmbsW~b8McNCVkHlcTXBy;0LW8jsxh5 zysL9*DpY`1hl~=#6HRH2%~(k>8nCkRtUE5a9>W>jh&zsnv7@HFu?@3lrn;l1v9W^N zZ@qA1lxM1&a8_A8p{j6-O6Zj{wQwfRRL)p#+s;&h zrwD9*Gt~8&J1>prP6)iRa?VSj&r#kgz@I> zeYVsTxYE)o-yhFpW+mr-^GJwoNpsCRli_$UnoJ{w16SsU8e1&wZ5~lEHPg4jFc50t z4p^V?!E;O++HGqhM>cZb)ircA&e|V=LtR^2HvP)nueia-3xihqGCv#KWh{M3vdz}rn)%1Ym zR@3U0$AmC`ZAImTD4f$VNpQbuXHh%n*KFE^!C_oO>o*Tbs0ZTQnSZ8DbayucHo_+Ue*e3 le (don't swap) buf[0] = ((unsigned char*) &ui)[0]; @@ -115,13 +144,20 @@ static inline uint32_t read_flash_obr(stlink_t *sl) { } static inline uint32_t read_flash_cr(stlink_t *sl) { - stlink_read_mem32(sl, FLASH_CR, sizeof (uint32_t)); + if(sl->chip_id==STM32F4_CHIP_ID) + stlink_read_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); + else + stlink_read_mem32(sl, FLASH_CR, sizeof (uint32_t)); + fprintf(stdout, "CR:%X\n", *(uint32_t*) sl->q_buf); return *(uint32_t*) sl->q_buf; } static inline unsigned int is_flash_locked(stlink_t *sl) { /* return non zero for true */ - return read_flash_cr(sl) & (1 << FLASH_CR_LOCK); + if(sl->chip_id==STM32F4_CHIP_ID) + return read_flash_cr(sl) & (1 << FLASH_F4_CR_LOCK); + else + return read_flash_cr(sl) & (1 << FLASH_CR_LOCK); } static void unlock_flash(stlink_t *sl) { @@ -130,12 +166,19 @@ static void unlock_flash(stlink_t *sl) { an invalid sequence results in a definitive lock of the FPEC block until next reset. */ + if(sl->chip_id==STM32F4_CHIP_ID) { + write_uint32(sl->q_buf, FLASH_KEY1); + stlink_write_mem32(sl, FLASH_F4_KEYR, sizeof (uint32_t)); + write_uint32(sl->q_buf, FLASH_KEY2); + stlink_write_mem32(sl, FLASH_F4_KEYR, sizeof (uint32_t)); + } + else { + write_uint32(sl->q_buf, FLASH_KEY1); + stlink_write_mem32(sl, FLASH_KEYR, sizeof (uint32_t)); + write_uint32(sl->q_buf, FLASH_KEY2); + stlink_write_mem32(sl, FLASH_KEYR, sizeof (uint32_t)); + } - write_uint32(sl->q_buf, FLASH_KEY1); - stlink_write_mem32(sl, FLASH_KEYR, sizeof (uint32_t)); - - write_uint32(sl->q_buf, FLASH_KEY2); - stlink_write_mem32(sl, FLASH_KEYR, sizeof (uint32_t)); } static int unlock_flash_if(stlink_t *sl) { @@ -151,24 +194,41 @@ static int unlock_flash_if(stlink_t *sl) { } static void lock_flash(stlink_t *sl) { - /* write to 1 only. reset by hw at unlock sequence */ - - const uint32_t n = read_flash_cr(sl) | (1 << FLASH_CR_LOCK); - - write_uint32(sl->q_buf, n); - stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + if(sl->chip_id==STM32F4_CHIP_ID) { + const uint32_t n = read_flash_cr(sl) | (1 << FLASH_F4_CR_LOCK); + write_uint32(sl->q_buf, n); + stlink_write_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); + } + else { + /* write to 1 only. reset by hw at unlock sequence */ + const uint32_t n = read_flash_cr(sl) | (1 << FLASH_CR_LOCK); + write_uint32(sl->q_buf, n); + stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + } } + static void set_flash_cr_pg(stlink_t *sl) { - const uint32_t n = 1 << FLASH_CR_PG; - write_uint32(sl->q_buf, n); - stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + if(sl->chip_id==STM32F4_CHIP_ID) { + uint32_t x = read_flash_cr(sl); + x |= (1 << FLASH_CR_PG); + write_uint32(sl->q_buf, x); + stlink_write_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); + } + else { + const uint32_t n = 1 << FLASH_CR_PG; + write_uint32(sl->q_buf, n); + stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + } } static void __attribute__((unused)) clear_flash_cr_pg(stlink_t *sl) { const uint32_t n = read_flash_cr(sl) & ~(1 << FLASH_CR_PG); write_uint32(sl->q_buf, n); - stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + if(sl->chip_id==STM32F4_CHIP_ID) + stlink_write_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); + else + stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); } static void set_flash_cr_per(stlink_t *sl) { @@ -196,10 +256,19 @@ static void __attribute__((unused)) clear_flash_cr_mer(stlink_t *sl) { } static void set_flash_cr_strt(stlink_t *sl) { - /* assume come on the flash_cr_per path */ - const uint32_t n = (1 << FLASH_CR_PER) | (1 << FLASH_CR_STRT); - write_uint32(sl->q_buf, n); - stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + if(sl->chip_id == STM32F4_CHIP_ID) + { + uint32_t x = read_flash_cr(sl); + x |= (1 << FLASH_F4_CR_STRT); + write_uint32(sl->q_buf, x); + stlink_write_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); + } + else { + /* assume come on the flash_cr_per path */ + const uint32_t n = (1 << FLASH_CR_PER) | (1 << FLASH_CR_STRT); + write_uint32(sl->q_buf, n); + stlink_write_mem32(sl, FLASH_CR, sizeof (uint32_t)); + } } static inline uint32_t read_flash_acr(stlink_t *sl) { @@ -208,12 +277,19 @@ static inline uint32_t read_flash_acr(stlink_t *sl) { } static inline uint32_t read_flash_sr(stlink_t *sl) { - stlink_read_mem32(sl, FLASH_SR, sizeof (uint32_t)); - return *(uint32_t*) sl->q_buf; + if(sl->chip_id==STM32F4_CHIP_ID) + stlink_read_mem32(sl, FLASH_F4_SR, sizeof (uint32_t)); + else + stlink_read_mem32(sl, FLASH_SR, sizeof (uint32_t)); + //fprintf(stdout, "SR:%X\n", *(uint32_t*) sl->q_buf); + return *(uint32_t*) sl->q_buf; } static inline unsigned int is_flash_busy(stlink_t *sl) { - return read_flash_sr(sl) & (1 << FLASH_SR_BSY); + if(sl->chip_id==STM32F4_CHIP_ID) + return read_flash_sr(sl) & (1 << FLASH_F4_SR_BSY); + else + return read_flash_sr(sl) & (1 << FLASH_SR_BSY); } static void wait_flash_busy(stlink_t *sl) { @@ -243,6 +319,26 @@ static inline void write_flash_ar(stlink_t *sl, uint32_t n) { stlink_write_mem32(sl, FLASH_AR, sizeof (uint32_t)); } +static inline void write_flash_cr_psiz(stlink_t *sl, uint32_t n) { + uint32_t x = read_flash_cr(sl); + x &= ~(0x03 << 8); + x |= (n << 8); + fprintf(stdout, "PSIZ:%X %X\n", x, n); + write_uint32(sl->q_buf, x); + stlink_write_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); +} + + +static inline void write_flash_cr_snb(stlink_t *sl, uint32_t n) { + uint32_t x = read_flash_cr(sl); + x &= ~FLASH_F4_CR_SNB_MASK; + x |= (n << FLASH_F4_CR_SNB); + x |= (1 << FLASH_F4_CR_SER); + fprintf(stdout, "SNB:%X %X\n", x, n); + write_uint32(sl->q_buf, x); + stlink_write_mem32(sl, FLASH_F4_CR, sizeof (uint32_t)); +} + #if 0 /* todo */ static void disable_flash_read_protection(stlink_t *sl) { @@ -290,11 +386,18 @@ uint32_t stlink_core_id(stlink_t *sl) { return sl->core_id; } -uint16_t stlink_chip_id(stlink_t *sl) { - stlink_read_mem32(sl, 0xE0042000, 4); +void stlink_identify_device(stlink_t *sl) { + uint32_t core_id=stlink_core_id(sl); + stlink_read_mem32(sl, 0xE0042000, 4); uint32_t chip_id = sl->q_buf[0] | (sl->q_buf[1] << 8) | (sl->q_buf[2] << 16) | (sl->q_buf[3] << 24); - return chip_id; + /* Fix chip_id for F4 */ + if (((chip_id & 0xFFF) == 0x411) && (core_id == CORE_M4_R0)) { + printf("Fixing wrong chip_id for STM32F4 Rev A errata\n"); + chip_id = 0x413; + } + sl->chip_id=chip_id; + sl->core_id=core_id; } /** @@ -702,23 +805,65 @@ int write_buffer_to_sram(stlink_t *sl, flash_loader_t* fl, const uint8_t* buf, s return 0; } +uint32_t calculate_F4_sectornum(uint32_t flashaddr){ + flashaddr &= ~STM32_FLASH_BASE; //Page now holding the actual flash address + if (flashaddr<0x4000) return (0); + else if(flashaddr<0x8000) return(1); + else if(flashaddr<0xc000) return(2); + else if(flashaddr<0x10000) return(3); + else if(flashaddr<0x20000) return(4); + else return(flashaddr/0x20000)+4; + +} + +uint32_t calculate_sectorsize(stlink_t *sl, uint32_t flashaddr){ + if(sl->chip_id == STM32F4_CHIP_ID) { + uint32_t sector=calculate_F4_sectornum(flashaddr); + if (sector<4) return (0x4000); + else if(sector<5) return(0x10000); + else return(0x20000); + } + else return (sl->flash_pgsz); +} + int stlink_erase_flash_page(stlink_t *sl, stm32_addr_t page) { /* page an addr in the page to erase */ - stlink_core_id(sl); - if (sl->core_id == STM32L_CORE_ID) + stlink_identify_device(sl); + + if (sl->chip_id == STM32F4_CHIP_ID) + { + /* wait for ongoing op to finish */ + wait_flash_busy(sl); + + /* unlock if locked */ + unlock_flash_if(sl); + + /* select the page to erase */ + //Page is passed to us as an addr, so calculate the actual page + uint32_t addr=page; + + page=calculate_F4_sectornum(addr); + + fprintf(stderr, "Erasing Sector:%u SectorSize:%u\n", page, calculate_sectorsize(sl, addr)); + write_flash_cr_snb(sl, page); + + /* start erase operation */ + set_flash_cr_strt(sl); + + /* wait for completion */ + wait_flash_busy(sl); + + /* relock the flash */ + //todo: fails to program if this is in + lock_flash(sl); + fprintf(stdout, "Erase Final CR:%X\n", read_flash_cr(sl)); + + } + + else if (sl->core_id == STM32L_CORE_ID) { -#define STM32L_FLASH_REGS_ADDR ((uint32_t)0x40023c00) -#define STM32L_FLASH_ACR (STM32L_FLASH_REGS_ADDR + 0x00) -#define STM32L_FLASH_PECR (STM32L_FLASH_REGS_ADDR + 0x04) -#define STM32L_FLASH_PDKEYR (STM32L_FLASH_REGS_ADDR + 0x08) -#define STM32L_FLASH_PEKEYR (STM32L_FLASH_REGS_ADDR + 0x0c) -#define STM32L_FLASH_PRGKEYR (STM32L_FLASH_REGS_ADDR + 0x10) -#define STM32L_FLASH_OPTKEYR (STM32L_FLASH_REGS_ADDR + 0x14) -#define STM32L_FLASH_SR (STM32L_FLASH_REGS_ADDR + 0x18) -#define STM32L_FLASH_OBR (STM32L_FLASH_REGS_ADDR + 0x0c) -#define STM32L_FLASH_WRPR (STM32L_FLASH_REGS_ADDR + 0x20) uint32_t val; @@ -814,8 +959,9 @@ int stlink_erase_flash_page(stlink_t *sl, stm32_addr_t page) /* relock the flash */ lock_flash(sl); } + else { - fprintf(stderr, "unknown coreid: %x\n", sl->core_id); + fprintf(stderr, "unknown device!\n"); return -1; } @@ -952,11 +1098,15 @@ int stlink_fcheck_flash(stlink_t *sl, const char* path, stm32_addr_t addr) { return res; } - int stlink_write_flash(stlink_t *sl, stm32_addr_t addr, uint8_t* base, unsigned len) { size_t off; flash_loader_t fl; + stlink_identify_device(sl); + + fprintf(stdout, "WriteFlash - addr:%x len:%x\n", addr, len); + fprintf(stdout, "CoreID:%X ChipID:%X\n", sl->core_id, sl->chip_id); + /* check addr range is inside the flash */ if (addr < sl->flash_base) { fprintf(stderr, "addr too low\n"); @@ -976,165 +1126,206 @@ int stlink_write_flash(stlink_t *sl, stm32_addr_t addr, uint8_t* base, unsigned } /* erase each page */ - for (off = 0; off < len; off += sl->flash_pgsz) { + for (off = 0; off < len; off += calculate_sectorsize(sl, addr + off) ) { /* addr must be an addr inside the page */ if (stlink_erase_flash_page(sl, addr + off) == -1) { - fprintf(stderr, "erase_flash_page(0x%zx) == -1\n", addr + off); + fprintf(stderr, "erase_flash_page(0x%zx) == -1\n", addr + off); return -1; } } - stlink_core_id(sl); - if (sl->core_id == STM32L_CORE_ID) - { - /* use fast word write. todo: half page. */ + if (sl->chip_id == STM32F4_CHIP_ID) { + /* todo: check write operation */ - uint32_t val; + /* First unlock the cr */ + unlock_flash_if(sl); -#if 0 /* todo: check write operation */ + /* set parallelisim to 32 bit*/ + write_flash_cr_psiz(sl, 2); - uint32_t nwrites = sl->flash_pgsz; + /* set programming mode */ + set_flash_cr_pg(sl); - redo_write: +#define PROGRESS_CHUNK_SIZE 0x1000 + /* write a word in program memory */ + for (off = 0; off < len; off += sizeof(uint32_t)) { + if (sl->verbose >= 1) { + if ((off & (PROGRESS_CHUNK_SIZE - 1)) == 0) { + /* show progress. writing procedure is slow + and previous errors are misleading */ + const uint32_t pgnum = (off / PROGRESS_CHUNK_SIZE)+1; + const uint32_t pgcount = len / PROGRESS_CHUNK_SIZE; + fprintf(stdout, "Writing %ukB chunk %u out of %u\n", PROGRESS_CHUNK_SIZE/1024, pgnum, pgcount); + } + } -#endif /* todo: check write operation */ + memcpy(sl->q_buf, (const void*)(base + off), sizeof(uint32_t)); + stlink_write_mem32(sl, addr + off, sizeof(uint32_t)); - /* disable pecr protection */ - write_uint32(sl->q_buf, 0x89abcdef); - stlink_write_mem32(sl, STM32L_FLASH_PEKEYR, sizeof(uint32_t)); - write_uint32(sl->q_buf, 0x02030405); - stlink_write_mem32(sl, STM32L_FLASH_PEKEYR, sizeof(uint32_t)); - - /* check pecr.pelock is cleared */ - stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); - val = read_uint32(sl->q_buf, 0); - if (val & (1 << 0)) - { - fprintf(stderr, "pecr.pelock not clear\n"); - return -1; - } + /* wait for sr.busy to be cleared */ + wait_flash_busy(sl); - /* unlock program memory */ - write_uint32(sl->q_buf, 0x8c9daebf); - stlink_write_mem32(sl, STM32L_FLASH_PRGKEYR, sizeof(uint32_t)); - write_uint32(sl->q_buf, 0x13141516); - stlink_write_mem32(sl, STM32L_FLASH_PRGKEYR, sizeof(uint32_t)); - - /* check pecr.prglock is cleared */ - stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); - val = read_uint32(sl->q_buf, 0); - if (val & (1 << 1)) - { - fprintf(stderr, "pecr.prglock not clear\n"); - return -1; - } + } + /* Relock flash */ + lock_flash(sl); + fprintf(stdout, "Final CR:%X\n", read_flash_cr(sl)); - /* write a word in program memory */ - for (off = 0; off < len; off += sizeof(uint32_t)) - { - if (sl->verbose >= 1) - { - if ((off & (sl->flash_pgsz - 1)) == 0) - { - /* show progress. writing procedure is slow - and previous errors are misleading */ - const uint32_t pgnum = off / sl->flash_pgsz; - const uint32_t pgcount = len / sl->flash_pgsz; - fprintf(stdout, "%u pages written out of %u\n", pgnum, pgcount); - } - } - memcpy(sl->q_buf, (const void*)(base + off), sizeof(uint32_t)); - stlink_write_mem32(sl, addr + off, sizeof(uint32_t)); - /* wait for sr.busy to be cleared */ - while (1) - { - stlink_read_mem32(sl, STM32L_FLASH_SR, sizeof(uint32_t)); - if ((read_uint32(sl->q_buf, 0) & (1 << 0)) == 0) break ; - } + } //STM32F4END + + else if (sl->core_id == STM32L_CORE_ID) { + /* use fast word write. todo: half page. */ + + uint32_t val; + +#if 0 /* todo: check write operation */ + + uint32_t nwrites = sl->flash_pgsz; + + redo_write: + +#endif /* todo: check write operation */ + + /* disable pecr protection */ + write_uint32(sl->q_buf, 0x89abcdef); + stlink_write_mem32(sl, STM32L_FLASH_PEKEYR, sizeof(uint32_t)); + write_uint32(sl->q_buf, 0x02030405); + stlink_write_mem32(sl, STM32L_FLASH_PEKEYR, sizeof(uint32_t)); + + /* check pecr.pelock is cleared */ + stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); + val = read_uint32(sl->q_buf, 0); + if (val & (1 << 0)) { + fprintf(stderr, "pecr.pelock not clear\n"); + return -1; + } + + /* unlock program memory */ + write_uint32(sl->q_buf, 0x8c9daebf); + stlink_write_mem32(sl, STM32L_FLASH_PRGKEYR, sizeof(uint32_t)); + write_uint32(sl->q_buf, 0x13141516); + stlink_write_mem32(sl, STM32L_FLASH_PRGKEYR, sizeof(uint32_t)); + + /* check pecr.prglock is cleared */ + stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); + val = read_uint32(sl->q_buf, 0); + if (val & (1 << 1)) { + fprintf(stderr, "pecr.prglock not clear\n"); + return -1; + } + + /* write a word in program memory */ + for (off = 0; off < len; off += sizeof(uint32_t)) { + if (sl->verbose >= 1) { + if ((off & (sl->flash_pgsz - 1)) == 0) { + /* show progress. writing procedure is slow + and previous errors are misleading */ + const uint32_t pgnum = off / sl->flash_pgsz; + const uint32_t pgcount = len / sl->flash_pgsz; + fprintf(stdout, "%u pages written out of %u\n", pgnum, pgcount); + } + } + + memcpy(sl->q_buf, (const void*)(base + off), sizeof(uint32_t)); + stlink_write_mem32(sl, addr + off, sizeof(uint32_t)); + + /* wait for sr.busy to be cleared */ + while (1) { + stlink_read_mem32(sl, STM32L_FLASH_SR, sizeof(uint32_t)); + if ((read_uint32(sl->q_buf, 0) & (1 << 0)) == 0) break ; + } #if 0 /* todo: check redo write operation */ - /* check written bytes. todo: should be on a per page basis. */ - stlink_read_mem32(sl, addr + off, sizeof(uint32_t)); - if (memcmp(sl->q_buf, base + off, sizeof(uint32_t))) - { - /* re erase the page and redo the write operation */ - uint32_t page; - uint32_t val; + /* check written bytes. todo: should be on a per page basis. */ + stlink_read_mem32(sl, addr + off, sizeof(uint32_t)); + if (memcmp(sl->q_buf, base + off, sizeof(uint32_t))) { + /* re erase the page and redo the write operation */ + uint32_t page; + uint32_t val; - /* fail if successive write count too low */ - if (nwrites < sl->flash_pgsz) { - fprintf(stderr, "writes operation failure count too high, aborting\n"); - return -1; - } + /* fail if successive write count too low */ + if (nwrites < sl->flash_pgsz) { + fprintf(stderr, "writes operation failure count too high, aborting\n"); + return -1; + } - nwrites = 0; + nwrites = 0; - /* assume addr aligned */ - if (off % sl->flash_pgsz) off &= ~(sl->flash_pgsz - 1); - page = addr + off; + /* assume addr aligned */ + if (off % sl->flash_pgsz) off &= ~(sl->flash_pgsz - 1); + page = addr + off; - fprintf(stderr, "invalid write @%x(%x): %x != %x. retrying.\n", - page, addr + off, read_uint32(base + off, 0), read_uint32(sl->q_buf, 0)); + fprintf(stderr, "invalid write @%x(%x): %x != %x. retrying.\n", + page, addr + off, read_uint32(base + off, 0), read_uint32(sl->q_buf, 0)); - /* reset lock bits */ - stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); - val = read_uint32(sl->q_buf, 0) | (1 << 0) | (1 << 1) | (1 << 2); - write_uint32(sl->q_buf, val); - stlink_write_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); + /* reset lock bits */ + stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); + val = read_uint32(sl->q_buf, 0) | (1 << 0) | (1 << 1) | (1 << 2); + write_uint32(sl->q_buf, val); + stlink_write_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); - stlink_erase_flash_page(sl, page); + stlink_erase_flash_page(sl, page); - goto redo_write; - } + goto redo_write; + } - /* increment successive writes counter */ - ++nwrites; + /* increment successive writes counter */ + ++nwrites; #endif /* todo: check redo write operation */ + } + /* reset lock bits */ + stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); + val = read_uint32(sl->q_buf, 0) | (1 << 0) | (1 << 1) | (1 << 2); + write_uint32(sl->q_buf, val); + stlink_write_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); + } - } - /* reset lock bits */ - stlink_read_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); - val = read_uint32(sl->q_buf, 0) | (1 << 0) | (1 << 1) | (1 << 2); - write_uint32(sl->q_buf, val); - stlink_write_mem32(sl, STM32L_FLASH_PECR, sizeof(uint32_t)); - } - else if (sl->core_id == STM32VL_CORE_ID) - { - /* flash loader initialization */ - if (init_flash_loader(sl, &fl) == -1) { - fprintf(stderr, "init_flash_loader() == -1\n"); - return -1; - } - /* write each page. above WRITE_BLOCK_SIZE fails? */ -#define WRITE_BLOCK_SIZE 0x40 - for (off = 0; off < len; off += WRITE_BLOCK_SIZE) - { - /* adjust last write size */ - size_t size = WRITE_BLOCK_SIZE; - if ((off + WRITE_BLOCK_SIZE) > len) size = len - off; - - /* unlock and set programming mode */ - unlock_flash_if(sl); - set_flash_cr_pg(sl); - - if (run_flash_loader(sl, &fl, addr + off, base + off, size) == -1) { - fprintf(stderr, "run_flash_loader(0x%zx) == -1\n", addr + off); - return -1; - } - lock_flash(sl); + else if (sl->core_id == STM32VL_CORE_ID) { + /* flash loader initialization */ + if (init_flash_loader(sl, &fl) == -1) { + fprintf(stderr, "init_flash_loader() == -1\n"); + return -1; + } + /* write each page. above WRITE_BLOCK_SIZE fails? */ +#define WRITE_BLOCK_SIZE 0x40 + for (off = 0; off < len; off += WRITE_BLOCK_SIZE) { + /* adjust last write size */ + size_t size = WRITE_BLOCK_SIZE; + if ((off + WRITE_BLOCK_SIZE) > len) size = len - off; + + /* unlock and set programming mode */ + unlock_flash_if(sl); + set_flash_cr_pg(sl); + + if (run_flash_loader(sl, &fl, addr + off, base + off, size) == -1) { + fprintf(stderr, "run_flash_loader(0x%zx) == -1\n", addr + off); + return -1; + } + lock_flash(sl); } - } else { - fprintf(stderr, "unknown coreid: %x\n", sl->core_id); + } + + + + + else + { + fprintf(stderr, "unknown device!\n"); return -1; } + + + + +#if(0) + //todo: F4 Can't stlink_read_mem32 an entire sector, not enough ram! for (off = 0; off < len; off += sl->flash_pgsz) { size_t aligned_size; @@ -1147,15 +1338,20 @@ int stlink_write_flash(stlink_t *sl, stm32_addr_t addr, uint8_t* base, unsigned if (aligned_size & (4 - 1)) aligned_size = (cmp_size + 4) & ~(4 - 1); + fprintf(stdout, "AlignedSize:%x\n", aligned_size); stlink_read_mem32(sl, addr + off, aligned_size); if (memcmp(sl->q_buf, base + off, cmp_size)) return -1; } +#endif return 0; } + + + int stlink_fwrite_flash(stlink_t *sl, const char* path, stm32_addr_t addr) { /* write the file in flash at addr */ diff --git a/src/stlink-common.h b/src/stlink-common.h index 2fe04e1..2230dca 100644 --- a/src/stlink-common.h +++ b/src/stlink-common.h @@ -81,6 +81,17 @@ extern "C" { #define STM32L_CORE_ID 0x2ba01477 #define STM32F4_CORE_ID 0x2ba01477 +/* + * Chip IDs are explained in the appropriate programming manual for the + * DBGMCU_IDCODE register (0xE0042000) + */ +#define CORE_M3_R1 0x1BA00477 +#define CORE_M3_R2 0x4BA00477 +#define CORE_M4_R0 0x2BA01477 + +/* using chip id for F4 ident, since core id is same as F1 */ +#define STM32F4_CHIP_ID 0x413 + /* Enough space to hold both a V2 command or a V1 command packaged as generic scsi*/ #define C_BUF_LEN 32 @@ -159,6 +170,7 @@ extern "C" { // transport layer verboseness: 0 for no debug info, 10 for lots int verbose; uint32_t core_id; + uint16_t chip_id; int core_stat; @@ -168,6 +180,10 @@ extern "C" { #define STM32_FLASH_SIZE (128 * 1024) #define STM32_FLASH_PGSZ 1024 #define STM32L_FLASH_PGSZ 256 + +#define STM32F4_FLASH_PGSZ 16384 +#define STM32F4_FLASH_SIZE (128 * 1024 * 8) + stm32_addr_t flash_base; size_t flash_size; size_t flash_pgsz; @@ -200,6 +216,7 @@ extern "C" { void stlink_exit_dfu_mode(stlink_t *sl); void stlink_close(stlink_t *sl); uint32_t stlink_core_id(stlink_t *sl); + void stlink_identify_device(stlink_t *sl); void stlink_reset(stlink_t *sl); void stlink_run(stlink_t *sl); void stlink_status(stlink_t *sl); diff --git a/src/stlink-usb.c b/src/stlink-usb.c index 4fb3a1c..63eddab 100644 --- a/src/stlink-usb.c +++ b/src/stlink-usb.c @@ -692,8 +692,26 @@ stlink_t* stlink_open_usb(const int verbose) { stlink_version(sl); /* per device family initialization */ - stlink_core_id(sl); - if (sl->core_id == STM32L_CORE_ID) { + stlink_identify_device(sl); + + if (sl->chip_id == STM32F4_CHIP_ID) { + + /* flash memory settings */ + sl->flash_base = STM32_FLASH_BASE; + sl->flash_size = STM32F4_FLASH_SIZE; + sl->flash_pgsz = STM32F4_FLASH_PGSZ; //Dummy, pages size is variable in this config + + /* system memory */ + sl->sys_base = STM32_SYSTEM_BASE; + sl->sys_size = STM32_SYSTEM_SIZE; + + /* sram memory settings */ + sl->sram_base = STM32_SRAM_BASE; + sl->sram_size = STM32_SRAM_SIZE; + + } + + else if (sl->core_id == STM32L_CORE_ID) { /* flash memory settings */ sl->flash_base = STM32_FLASH_BASE; @@ -708,7 +726,8 @@ stlink_t* stlink_open_usb(const int verbose) { sl->sram_base = STM32_SRAM_BASE; sl->sram_size = STM32L_SRAM_SIZE; - } else if (sl->core_id == STM32VL_CORE_ID) { + } + else if (sl->core_id == STM32VL_CORE_ID) { /* flash memory settings */ sl->flash_base = STM32_FLASH_BASE; @@ -723,11 +742,10 @@ stlink_t* stlink_open_usb(const int verbose) { sl->sram_base = STM32_SRAM_BASE; sl->sram_size = STM32_SRAM_SIZE; - } else { - + } + else { fprintf(stderr, "unknown coreid: %x\n", sl->core_id); goto on_libusb_error; - } error = 0; diff --git a/src/test_usb.c b/src/test_usb.c index 343e355..4901a8f 100644 --- a/src/test_usb.c +++ b/src/test_usb.c @@ -27,8 +27,9 @@ int main(int ac, char** av) { printf("-- mode after entering swd mode: %d\n", stlink_current_mode(sl)); - printf("-- chip id: %#x\n", stlink_chip_id(sl)); - printf("-- core_id: %#x\n", stlink_core_id(sl)); + stlink_identify_device(sl); + printf("-- chip id: %#x\n", sl->chip_id); + printf("-- core_id: %#x\n", sl->core_id); cortex_m3_cpuid_t cpuid; stlink_cpu_id(sl, &cpuid); diff --git a/test_usb.exe b/test_usb.exe new file mode 100644 index 0000000000000000000000000000000000000000..8523d69efdf1edb0d5f003fc6de7bb83483c3cda GIT binary patch literal 92221 zcmeFa3w%|@wLd<4pPl3!2qZv&AP6TUf$$CiH7e-I<3OT;#5@o!cnEorXh>pm!oy09 z4Or5cN_@1o+6uL{wneXP{i*d)L2dEbYPGGmwU#Q@L&I&cVoNJ6zwcTzvmYmkZSTFG z|L^{PzaHJ$Gi%mbvu4ejHP1b)x_poD2_Y;zr%wy<2;TGy@!yaB(~ab@Gael)9t^yc z@rY6OQbu)Cb7!!Sv0pqIi$ix=lnlHc5ozy#MB2L_k@gFuJ@@n;{gd(_!@cbgW=*9Ky-)QV zvv&QM9aD4yiS%zs>P^dvg^=2F%)jeEbR0{%CnQMH?vObB^1cJth6Ga11LXU_kBtWM zP*$%ZiCBGbiDnf50nwg*`MIb2w+OF1KLFZLChsaH4|teJIb22KA`-tN$9taXj{V_c zDA>vxMT%XEYV9q~+SYSz);5u{a|*OhCAk`MzY4TIB=uTZvG31w!a0kW!~$M2;eE%2 zmyEPs2fEUcHlNaNa;4pp!@QRhe5(td4Y|{crQAR%6OhthoHdPLI-lG*0t||?rl&moGQFn}x9TjvqPv~wesK|S z9xBe7neq_h1id&<5YEHEfdoIN@ZA(H&bpQic5v3dtd5ZIAqtS6Y}uXtZ|9-Xk!C^d z*QRWF6)fH*78@iB#%IF{io;suhd@K&o&!0Qv?-iv^rB`e&g5Kel6!>+YLZc2lHb4d z&0ZooGmd1xi{xi>M`pJa>~v{J1UFr}H!CgOx2H)G>gb8&Uc-^@3NMM4?z%XV{VtMu zaT2)MeKt;VH{CUqW@Y`JbbPABF*gB|0{qVeKjMPlJlnzfR<^eYR5Y#Nv2pTH8Fbno zlsqY_=-bvT9k0`VD$}H?WB__?e|1)tHb9Ma6j)>>23bkkme*m#S#B%3!d0qSF6rk& zdX&n90mjC<;Kd{@+C9PlxY8-%k7hA^9Kqjo!E51rwJbq|*%7i^Vc%r+g;RHjPxXcU zeKpCwsd%S6RC8)~MFK)U2ql`Fkkwn^=RjI-MUVsOy%mJpR}<{5n8tx=y%p)tJV^3(T0tHYxg#l=T(AsLQkpBtvXd-IGMpqq@jqku zC%I7UPe@fFuyCPiy|QGSLrNbaDu1Dh0uM#}`GPkDiYm`d* z68$wV!*;lsd7K4lY!a%vnAncC+1^UzXoW=BA3j2C6Oq_gbEFTMyfWut@3Xz(H~MN~ zec|Iedblrqc-MjLX?@{0dSV78hYu%&3R6UH7)fvRoHRc%0XeOsoc1AeF2LY|zVPe2 z4y5cXM`;l3Ic!2A!n>C6w%d3K%BE2HRXxXw4~0*VY<=sI9qb@A<)LROP=U%u)DPL= z9JmbVw)mY!@7{G;seS8H@k;J3gA-S}So2rPoCvwqg#A?DOa6BHG-Mei6+IKWF z9m(j3yEW23Di7pL9Rs5N_3y^Zw0bz1N+FZZd|H16EZGl3o8yo+4D^C$JVO` zihNk-lpnG~Nzzc#WcUKS(A3@Ko&{a&#(|W^-tcSv;kR6hxe=pAIgUzVLl~@0c{u#r z#^pU$Q~@dgIM%ql5)9^DjVpjn6L<7~qBm_(r_C&m+ zIgV2H9Psysk169r!Tdqs;>`_(ng;CV9f*o%(HD|6b&urc3m^M|sOdpAXv-X_az_f? zks=v6-bZeakhPumV+0OJ&jAa-zM51G?*be&Q0j@^r+hWXuHTW|jcmJ;9_dE28kB8k zx9QG<@NH2>sfber%C~Znb9zx4r|hvrE>VnXk%~?^A(@K)0;;h$3?FfXOZZ+-_z30E z7Yf2vK-jdtn&Wtp0l*H9X%3`$5*-}QgayK1^z1l%x@!!)z+L18ItwrlRI=s6eqbKR zV?+^At4B0zaMr>tWZ~ekVl3PrdcrXXCnJ6*LS2+{3)5l0DG!s+i%FI1gj>X=km9OR2Iee+c3|p#_2_uy1dr0_urONob zr8@ngU8)jqC86m^JAy%>4aHi9Sy77KRb3r zy$RdVybE=&GYXQWJQT`FdFa6KHXko5HE@`LuElPSas~Vh<<&M1Sz%UW^JoBw%>3sC zpXL&LtQNfE0=TAWPR+BQsT~iIYW%&Qq-o6XrHF62BWrw|s}yilPAL#EhAGA0X~t_# zI_kHZ@&4sbGmbNje_YU}zc}iS7ZeT-y7$`2-x2h_KMf0)-QAPm@|xcE=S=K zY!M4~3{taczTXo*20N9JBpLZ=S!S^;v7Q|uG3p(DRsBuF+SfvU#I{6 z!7z2Y=)XhILADmVfAa{6KJ=e8zTDviz2Ut728|YegrI?cUeHgS7)GPN#44limH%-w zy!Vg9$~Hr&&@sb|f5Z&$Vx>7|cpr@rE^*1SR*n!}^R^6+he5ym+Ayv>nPro0SNaxb zgn%ZZBd!s`snOh*BCog+LPxSraJsC&Q&DP;^zO-lr$?9u zO3!0yCf?~gqck94^`|!+I}|=r&A!SW5xHE#G*%_16>>m|x^RYsmW*H+i?Q1g+2_&_ zPW8FA>yzV|<0Mk;9~>(gr@O9)v1Wbr6<~204tvUwNXtudA~!e(QuL?of~H?Vv9~bfq!p{QvvgXqlZN#UrkkSE$bYFd zvoe+T*HN5#Z(*5}R-w{7F66&B$bZDp(vi7B$$T0tw9F};w#?4~dC<^J z|L=JHkq1s+VsjbZnI^E0;%Nlxes`*jQd9azClasyei&n%i;bJ3_saU|tMMA+_A}fn z01g|+DU_9$GTwk9Fpj55E6;ke9DRH@i71nMVyQhRt*xOxYu7R)o<0me)K~LHZ$)U3 zCM_H+ru7aPEE$}sgJsmhCA4A?nIH&zf1@|~AJp;4!@Bd{W1li?&zk7gajMoa&D>lL zZYr1UpHckBe&;COc4OaKV!JV~)_qfy8>_nhST(!^rUj&WxGniY$8-8L7P4gQFu$y< zwPQ=t+d&CHzF<44`Aw_LhNyl8D?%;>E5E zB*CZC@Olq5Y=jSCkBpiCfJb_1ohf#xJ0D3TAoNQNf4`U(DQG!yLF`X?;1D8np@kto zMjxC9xl2e~0RxFW1C*md$Om%I(%io>9}G3$=-Kgx*iOFmMk2V12)>0DrADBG=k$eN z?yEU=@GaAL8Ox3sn%YYd?2*5Tx*%~2(M3qS z3PeS-I!vuprjull-HL*A36Wk6Qaf*yL$>p3DK#8;7aSUNMUvU67w9s*Mhi(Pz4MvJ zYkzv3YW+-bRk{PYY?DWeFw1ddcNjRs(>sg{<%ID4x#?5H|WcdbXQ)ai*nnP>?_F&1! zt&?5iyRa0hu{3}O$LN8bwTHdT%V?RC;I61yp{R_QvVhwI26U&p#)B;p{~BWsxx$+Z z$lyGpCZBHC@)jb3YbOAE-39=dqHp~vXU_>9&l!|`VsdN~u%thRbK^ahErccMD=L)G)hQMM+Vf17Ug`G7UVzoY{6qcW1q^=y zGd(aL!+aVxh>2t2-DpL(o6j*XP+C&^W(OWaBWI zZ31aPa65@J-3(Hf*$mc#!QfO+qQm`shkJVL#9T5tziu9)OE!-W2+T8$K3GwjwjwfE zcm8M)EbtW=Ut~kh?m?;{Dnc1Sy0z#y8WTrZkGPu0WEz{oL{g1aVz{@4WH z?EU>qM36Z$!JC*u3~qEtbRNgCXzR(tQOh?$;t=ROo*bFr8W8A;1R+WEGj#UwAsH%a z^013jo{%YOGs?A5*mEog*lwkU6?Fh4V@RoTW2?Uch`hr}2W@7@$>pm2x8R)ywJALV z9VLUqaWG{Lw1Q_5hj*}=>Q+Unql&%Yph^}mT(J_ag_rbC^sJ)Uxa4fh))&v&smK*1 z+c}5A$H~2FLR+NDctS^{FD8*Y#F5Eg#soop8tOUx z;c#-ih(*{D3iK&2Be#B6D#le{46fI&Izb0Yhe+8L&)l(%xnRz=QRph3Z5wCD6FRo> z9ya@24bd%?r`D65yw0J#JPtD1;dcfSAvn9=5%_Ay_bKW43^6!XmA?y+p2LQw+oQk_ z0gP_xJq=gjm5d!!P-<*s*^3$atKlkV5tgCdmE#iox0t1A_Bz{}INPW@TlNAp=VLGM zD=fmg`K38(_=3Yv3$}&V=TBVUaN;!V7=7Bo!~Os|-TN^>M=v6WAIW_+Cor4f6^JC& zpWH(T@qVH%E^n6)n?5w}zwiS5iJpcNhd5&&GKTvxV~a=1e1gh6XSmFNgLPDQ!Cjf} zvm8YN#hMO2s%FBVLa^MYy@N`F4Hkd|QcH*CCorOA8~vSZBytK7 zXTM*D)yavzJ-QJ=>#(AHjHjJyYx89QvB^0Ho1A1zPs!+9j;8A9JdUOZUgj2YBKtJP zc+1D3mb#{0zvDPhUuggIbrd5um_bVqCng}3x=Ui%*t>_0SRzDQ`+HnlkvVP)z!_sL zV!9Mj4N%~Ycq(u!bR#7=7)!_bskg3!AvV$hsuKreX#~L0DgbCm35eDERO9t;omMc6 z=pl_n*W}F;A0Aai~vYgI?SgwROXa+ zAJ%Lk3F$BkZ&nPQ4+EBr#>T)t!5(zUJ+Kp`u(N7$czl|9P~XZaw>c^8oI>0<<$fn+ z4X4=K@85S)mT}54P4kA6GE>r^XW$drC!7?&q|qr$x7s%HJFJ&-(dC?wPMVw`6|^}h zUjhpDk52R)_BCQWI-A11Pxrj-i&G~|F}m_ zC*v}H!O1v##Eko<51a9g0NWYo{Jo5MuxuCjT_@lBj@xyfI|37Ti?g=b+U#^Fm&TRX zO_AwP6gU*iuntbO`VoZZIfQ;DB$cx1R5&S9k%E(QY1bvvdAaR#W9zZ&Bv%4>Wp)l4 z3RN+Un&IfyfigB1D1*~gmF0i5Aj)lv@v=p}Na>gCo#->`W-uAxUg^ zE;$Nbtl`on(!$`mw9+*VCR;+n-s!MApV^%lWcVWvJX67Q3I8nzK3c)k3I10H{yx@< zA3@`l_W#I+_7GNT#m)n=Eb35SYJd1799ZnEtf-Wo6@fVR6j1a|+8VTc>Lwh4p9Td3?5)KIy%6&gd6fQu+7|A+odU5P zoUgw(iZiZiW$t6hj9k$*NYd+axghn?+D{Oj47BoktXL5$qBcbo23T$eE7{HupSbR+ z*d&FmHaKWumz1K4*G0v98=E_Wy#CkoOz>k@unk>*>~_Ko%Z(inAjUNf@je7T`n{eV z$4__7iTy9c&`#yRms|BVCDC_~!lrukS0L^W?~$IAw=AASTF=STT?=Aek{)T?&c{-= zj)1#Kz(SxL?PmcV>8p7h$!UlXS~|VeX+=bnxma*6^rqZke1s8O3l2OG;$!Vt&_UL7 zV}Aj;%f(CtrYq~uQPzimGB~j>O!-O%6_%?R2s6V zmTI{sXiH`QpCy2OrF`mQG@(aG#i}&<+GO<3V2lz$Ky1|!^)JFjPzB`0wxhMVDqtK? zT@`R2Rlo|MNF#a&mC91kg8up8)GZ5Q=X&XBsmMkDB+(}V<>;MEiZi6CpzB-^GrV+h zHunLnc=)`idX_wA;vSq9oi=(h~i$AZ{*fa)qm9Je=!TQ_l=#N1N3s=9Er zF(xZ*L9D~e0K&P8F-Qz9BnCgBgfNXL#b6h0F2asbb=CU4gxTh1+Ze)#y-9@W=+$CL|Hz0Qs8LsN2T zWP-OqzH3mRR-o`PZYqs3j7ND!t(%flW=xq{1s_*DS9Cm52-!jh+r)|Mh1xicPA4@=u$FO;A*A*IAOgAuseuUP3Ca00S z*1+Rl+4y)b+c1j^tUb`>0lpedic04>k&oMw?l5HMPJ|GM?QbLeuw|`g+gpqhxkh= zejUeAF=Fssia*J5>R<-vQ2aEE znPVW+v*VPH@(zLaOhhae1WQh-kkpj?q~ByWq;`s^G@Il|)x|qy*HaKd74~ur2vqRw zqwEmnP>x9dhEYl{JRKY@EKgyrCV`%g9l)L@RT@4}ahY5$DvKx|rBwICA$At+@Jsz3 z9JGUP9J7NT#Oz?&yPU;(&cfc7xJ2>30^p;UK&xQq+PqBJbuTmzn3}NbkMGQPylXtJ z1;8hGdZh^xET2iTzj9){3cvK|_dx$d5fod=?GV!Pqup*PuM z^I)M~mFoti$Y#3TQ;;X`|Kg1#*ST?WxfZKVV8-Q?eA2|U2}Ix@nc!&g$^xJ;9gzbtIK^3@p`nN2(5%ilM)Ef}+9o}iAO7LJ^pl=E}>hOSif z6IJ*S9i1P1zo5Nbm+zR$>D@9thtlU$x;#7ZGmNQ7DmB^oF~lI9ybiEb+hxGDO&i^e zy&020ZU(v0$SJO;Stgxg-yS)t;^i@%sXN|FUfr{Gk1_Hw0;%3xS%dnJay6Fr zNQG*oI@cbXP_L`~_5dG^KA}i1K}`3QG@;v|Ozm74R_q9E4%1408sv4m_ur_(|3dB_ zt3D;=;p2|F?v=FeNuBTGQf2o@s=jVI@Ns@Pc}rgG8pfufF;9Jl8@{dtiu5Myd9@jz zW+n4bCAl*%cAl3W3U`|f4`Y*T`ZFE+WDNNHeS1g{3h=2KFg^DmWcm&5GdshPIG#M1 z{SHv1OwY;T7(CC;12m#d<4`m&w%5yLxDoAUn@bOKfgE(82j8S-hUqKdyx7OQOcr^I zmkzzga%?6SfQcFo4Q@i+N@;dqsR z7te!EU?|ox03~_`7_)hx&%WXCVfKa=`T){3wVnGMCvxYnkt$DCAH7dv$ZZB3Zz)H{ zyvz@$UWD+$7_H9m$UY5Wj7nqYvHY-9KJhK*Z){04$Ra=9q)If05J@MgT#XVK3U%MlhXnx4X}hVcrB3X+!sTN~DJ~SN_5)g=;vI?J1ES&YU|k!3p$% zBb2Dp!wYh<_x>Sx=@QX!CNFlJoP*Qq(=#aTRmLrQeW4HxNs%oI+ZUcYDTw#PN_>mkP#PPY9_|O3A2(-ifC-2VC zsg&}6ACcE6@H$#9y^GVqPfgiH3t#>Gv5fBUb}M(67fU1e>eMaP1Krm8YpUB{!_UdO z{S&1skMwm89}nS$YU?==QWIq8+@2s)-$(xABuq*L523wCXVXs|4S8bnI&_R76(@)3 zvt7SeR$3S#*NkxoVfVu|N67O!uKARz^bK0j!An$bk0WE$LsRFDWG;&_w4vn$3@V@sJtJ4e z=tOm4>Z!&j-{Luqi3r@FJh)x(}+(?BX&~A^;`&ZnR(Q+iEqZWD5RTb$eBobJ5 zeeOv#4elug!muey#%xFZiX*{!cfpn0)kr(~HVfhEG+_OE8J7M^jOQZ|nqCu!a>Z9Syk z1+c7|DG*BOa3h4-qryuOhO>T;IPslG^3-x8jDu_J7y@>U9mAQ{+aWuz z;W>Xna~@nwg^@Fj&0busmR1{Ao*3+TCW@n&r?Vg27ZQ8+oX-A=dhb{7H`M!C^?qEv zUsUe{>iwE}Kcn6+tM|R?{fK(MuHJjq`%d+KfZtGz{p0&?(5zYI1SKU-hWPlF;Pk{C z#@Ba~(Hr>do!iR(`S|JVEG5ge>b*_9*Q)mh^=?=1b?RNO-a+-=souNQyF$II)q9V6 z->BaC>OEh*m#TM2y`$>gq~0y+eJj7U!ccyid*Py&J|Gkf1$rwJ0-lEjLusGDyjWGQV_3Xso|DaIO{M@<_Ub)No zm6pB-Cf%8HsHh}&J;BRD{HmznSM>&dWlbY2-=U)PdpSOBH@|}28;PWNH(n__4}yK) zCEIrWIAtd-A@2)<2D_HKsIT1D-OiDn zBS!eTh8GVPM+XqYeSLj}X?K$Xk9O*K>fKaZM{9IE z`EIJpqxm}Sznkj$=u{oI?j|ER`Zw&05I^&7sy?16gWsQ2Z|fVD4& z0`MJuP-)MxWLzFdrbhP%qPbwD93LHGT#T<6jg+0ALP7^V%`u~mJtuu+Q6jpc_jUTl z;;Cd_a6w0E5jpMYohv!+I?(%c^ymB5s(dB@dMJTn7(1OFJgDlg7kggoPaVS10is^z zf9~jCD4?OJb_qR#K!0?WSbPAj;?@I;f6+UJN^mHF3Pb|+PNXv4O-+S_$*m2sFzb+n zflJD5Pv6awXrcG0{88TLjwV4OJD6`%fIU=k(Lr%lNJJwVoYv6LP0@ zBj~l~LyWc7`(@z>4hQ|*(FI_ta+0sp*~Q-c*u>$qp;*E=on5B#JEGn(FFxIe3C7X; zQ2o#klrA0&{9SxhBJEIL9kw)Ax+z@GQZEO>abmfA@3g@CNkxGSC)Kc5o+0Y5P`i9{s z#exOFEe#!=&26nh(A~trjSY=$9SyG4U|fZfWUB@QC(AWd$744@yu<^xemETY=Ee1??R+`b9)Pb z!R5j1+{|tBgIj7lnrmC56elu?)Lz@6AoGJA4O?^~BGjKu4V z)<(NJDb&%>*$|bWhIR&YwbDx#vSDM_CRHeWmeTOQ2amYtgqm29qUZjE%d6W9UbM(VnT&Q5|mz>cko z*p1n-HBUobn5cqn!JO>8`Z+FojI&|?PviL^o`1)41W#jab4x>gFxrL-ubZPmse)i# z8w_DvbbfGaM;oj`SzNHKkq|misSTYM+Irm7026E2(p=XNX@RM0HMX@kw31eZD5`Cp z9Ss64Sl`fD*U{V_ZR?;MH`hk%nu4XBFuB?m4_+06TDvxHgi#K`T-DquNpVNFBo0c{ z&iTk;OE9}LxTQ0=sTmcEuq|hOL$tQ8DRNaqM{7e%q`m{`9XUC3f?RWML@E$8(Ad1G ztD`oGriNm`@}kr*S{t^KcAPZmxjwkyg5YAuuz)*+UfEV%OKtOJH~}=X#@f0DFjfh* zEiG+zww;Qu)~j0EwzeXxjs|XIFctn4Ri2lG5+l(_aXJdVdtNE9~@I33h?z1`?E6E}{>`3z;DJcvwK(Y%e+EA5S( z4Y?6y60E9TwIpxF(qJVjwt(u2?iY9G!d_u0ce=xUE*0L5D}9NC3xVWdOIzDjlyO(P z(wjDRXst6E+yW`|Pd2cnXju*0+8gSk+=iEKL%mCl2iq00Mzj-j`{4xCy8zjz)$a&i) zhI0H9^elpl)~&p)9nH~($mWL4OY)=!!{qYL3l^hBf-McLo1#tVhNMJIwObk>4lp;u ztJJnMZ))8PH+x=i9$L%B?a_vX-il$*?_xb{zWJ)++=)zx#zXyVr%ZPzOPP^2Om+;V z<~v96RprtBE_7Jmur0W`3wD5i6adcVW4Q|#=H;&U@-bPIfuTi21m0em)F24wVl0!;&By24Vwk6u! z-qJwbb$jim2GZJmE{O6IWCRV+OGBiQvWO58mF}8tc6|zxfb^E#byZ=ot_eaS7{oA$ zxRT!fzQ#t4pr}y-oLDfp`{rmA7U$wi!w@Bx)R?0wScx~qE3;Jd#;!&T^oFXLQ)OR2 zAMK@!Mx`)xYMhzY;Y&_hFNE+IOKvGV`KtIH_qD_Q@s~|2HZn77b5EM*XW)6eX~JzovnTy$=16doZ@yC&Y^`*wU{GaVKDD zc=WFfup_RxhSU2v!M`j-GM;I8a`5EiDaKQQXC0n;JlpWxi04i`_u_c~&m(xA!SfoP z!+4J2If=)*TZmLV)9_^B$;Gn_PYIrCJR9)PuLuA7;ipqLE;xuQb@IxYkHI_(S zM>IE-i})-f!o!lYmkQBlM6ejqg*A%yXa~~1XGCa>LP_E!BSOO&4!>j8@ze`oG1kX( zCb80o1)8mxm#Y~gE##DH7!QsySOESNKFS^sNq{&$0&K_XNwg8+w-N4&c8Yg>jgTD| zU0IFX10hMQw@@NpRcNfQ-7dsmt<8=M2^a;}ZEh#L6b`ooLx>3!rsWU(@)I_9wW2T- zZcebX6bBPHOYxHgjEZSKiS#=XJEI+n-(Cu1u!VIB;ZN%7Y-zwU3VxH5w%CH#CrL49 z3_mdqk^W}uw7A)9C|`(qP8z14j4l>w*l;s&5S^vdX~GDSb9J0l=U!R>?)jcHy{;qk z3G1{W6L^wLh>P9nYQ@4?k&rm6hQXm#4N6__PJ=2jK7w?5MI%z%S=ZbgT70%>(0HDe zq=;HalKE`XI5ggY_*dz;XL%x0TNlML1xT*e>14Tx@6hofiw%oVl_g zx~i?dtEHiY*T4#dC?Hq`)}0D4LdV(@=}YYWKl8}eCl^Q4Aj5z40Y9t-VnsHW*JMQw zc+zumxN>#4Y)PJkqOAY={g2ncG)QQ&lotP`e*>&k201R%Urp5iINjqDL*i;Wjii1u zea9@Fo|_vI%jWCUf4%>wYk&?7%KE1|rhlDJj|Rj7z)eBmZ_I$xz&WXiS_-9|0NJ(Q z52)G`*jn2h6*c1#x7X`0OY_pGbvyA^99+&cdAUlo<6A0sK6YBZ_-kB}TGEl56gS~O zr<+c#hKgw@p&PEYKlaCgUF)x+Al*xXp^|%#=ST6$o;{(GDPCf^$?~%}C@pe{2DBr$ zXqbi@tYq-Ckvs5l4BOtYdKNnc{K1LSdfME)zK zXfz5{&I;tF=lw1)+*A*w7S9j`r# z+Mo?qs*v!X10KKL$Bi}*e@(HpM2MfE1>T7#g0156%1BvhRdpmHzRQqe7lg_F_2>T% z4Sb8MpUOfV{UvylaYv$JqJe9w;H&cy&QjsUXCtyyMV90t@+czY8orNrXB0bG5!zco z!oLGRo&ww6k#`CeGp;s0qEl zEe{Te#~qNw7e9=Lz$Mf93vt*_-9qH6B}9L1w=H?&k=6r!vj%q z6k%;q<0-ISnB4lP*4S{e`F%NNMk5E2Bbc$&U zk3%fPbCgn-u&}#1IDj5W|~W+nCksh#?I4I|$LH zDT41K$UXCRm^yiaop^id{&oO{dIEt4Fw_%F0aVqp8u>&-|4>*|+ykK8Y?IxkI1&ed zXn%1CqhcdID~fk3qtH^ceI155V+pXmp`Ld_#Z-(>U2(9ZAGsQ*v-~)!v3XlQoMKli zokXk0iGofs9nFoQwH-JeqoEH2s(r?_uL z+W_U6B1~#+R&z0B;AwEyRfIWeXq)p}o2dg%qEm$pbbJJ}TFhCjR_fnLW_7$!SZxww zCehhKVYSPsm4xGk&3snUx|+rZW@e%=hqhv?gL;1mrCgu2n>z5(x~b8>7GmwCem+UN ze{0`|XsjfH_WnGsx4wLg)SYQ1)kQF37uG!nnQNv$>1rAO+BlBqAdcO9Vcq*E@U6^y z_a-L6e{95xxv;(|Vfz7tsC33sSo=vsR^|f)lV_uZbsrVd%6w4cu~)P1m(-5{D*eqy z?DGrjF&gk#nUC9GvU*`XP6H?_^MDO*-_&`Hu%3|MX8`Wpj#&`1cuKN(Rski0rzPf# zd+Fa>0ITOD(#wQ|bDR;0^}NJ-ZEqr~S*cm8vdsGQI_NSXet##X{xiC3$Pem9`3^K+Sf7)?TuE=Qf>^hIjae*} zaBf1Mf0{x0ik@L#_!YyJK|(ClSa(QiLP?%Ut##)Ij8&ZEUR$ufD3MFV7^4a4W9g4b zuxON_KLpb8wROTspghe1M7AJ8U~|Bl(Agr4MAC>adQwb)t~-U1L?tw%S!tg~ zKk{w#BNKSKAdH#hu5dFW7ASBjcg{=Tk>Jbf00PED!k9zK^F?XUpJ1gRJc$6}t200Z z3DJCpc4@M;EcgdHHCpLjkQ?HOknYrcuht@i|G}M_X^&5>{KuuJ+!@Aoa=Te&SL3g# zW=ILG$0?>qt_cs3RukRxqy)dgD7tSv&S(z{h zSc*k4elHb1dRHzL7QgdF0=!%C|v3aX1rM1W%9%F6Oe z9MvO~^71kWf#GU%Fb1p-6GKsB~39nHWov(#0Z`F%TFh19@V+ z3@jBB7$sk%NkG1s$N;dNBq0b*rqG)5(qfTLp_0mQRZUs7m_m^X2z&+wstQ(w3o0uM zF2isY(IOe1M&X*OaAk3LMd|8ru{e_eWffG;=`wgJg=bK>GF((%SuC zj?JRj3LHSJE?pHC2UzumW#xt9AP2!IT(C+!r6T2(1uMhiX;)IXI8t7CQMjmDJY(ZH zugjTWKV+4E^$5U`B*X-z=WR96+0_W`wcJptTh<(IQ5yozX zX=!*>*G83eqe@E9N!U)0w$-(v2ic>N5|cNss@+z>%NA7_f#nM07L}Bg++4o~RffYE z#%ERLe!#$_zN;=OjL$3JD3VdiB#b*1Fgdw-Rc&h*zA1>}%nMlDrPz*5ZnimmS;110 zo8<=zj2kgPvZ9W9Oj^*$e>=QvW^I3v$c+A}d z`HJ~-f^axR+asSmv5fmnTH(=kTUAq36t2QVRRh?MMM}%Xb#ai@YYNIriz5{Um1w`8 z@Fo^WCOf>))#Y$=Yf6j4;@bEGxQOza)y3lD-UKv@%fvNa82J%u3fsNl@-^Yg6=mg@ zifvwaMQKG?Z1uuylSPBw;!T2#tHP^9S3DHnvZkVMLbvSU*ZGo-fREPtQV)`~jrP^zg_fP#L(#D+YNeu_!Wicxd&4YD zBq~d^^(B`EjTye(;FVx~27kvHU%=n-^al`HHPn)5Dv@K%_T2&VPhCvx=Wv+Z3MO1M zJe=>7e#YV(#*9Ti9(QCWB(vi*@>D!AmDcTyvz4%ZDeQ$x*koC!?<#v%UF7ais7Yin1YbfHV5>nPER$0jom{(GTvDUW_ zr86^gpa5F7oj|tq06=M^W-+lkuyGQWg!~;MP)7k874h;xpq|#Cv3%gy%Ljo52`Tow zmJb4r5?JEL@OChlryl;z*;Ox?U}s?zAV*e`Q_|Aa8DAW`TcVC9{Ad1j^`jwv-iNgR5v_( z_v_hv;F}VL*}GrQ-UItdK%Tw(_3S-xA9XK0dzW}Tdk@?%sWE%^yJqi!$7r*eXYUHk z)9S$Ew7kc&cLnCzd*BHP#_Zj1&)x%1NfwyBGf*;kT4G}M?&sM$PxJ%NNmR_?B~;=* zFL7xOpEP6+ANce|SP=KiIeegR9U`RO_&I#wMww#yvuz-`;O zBsqS$Whu`s1U@UF^Briu2z*Wgb0xh!fe+k%8?#s{;WSwfeEwSu%2)IZ`@%~MTjrPB z(sxK{LVnK#K5*yTj8*J+Pv8Szl*lFUU2Bj&p8lZe(k4cNMHMs7AmJE3o@{YE86VO% za@tLH8ifF+R+nIXf)hzpNl2zSUSZt9X(Y0XDItLFVL+!C6d_@?Fs~x4SJ-r`i;BRP zs9`2p^mhWeNorx-;AhAADdgeNDFD{4VP{AfY^@#NlU*%FO{J{ieI=k>jN&<>nVClJ zjK_8@V$_*bRybjQ=O+6DPORENnCX&yn!j#yJ-duiGbAL4GSlERFv5nAWsasjp;1*U zBgJcZSj{6DH)KU+`6?RSVBjvM&V~e*nGpdExdQY;W)?-D2r-SW?K)HSeE1rx1xt}F zSJ{#ZW0qym+5vr8pASBCaB8~U*CCaaG9YGfZ#UawT@F|?9s#Z~+v3h`AUa)lIXM=0 zIRnWv4iZ9+#o8H2ok7bph*Ga@4y4WC9&3*EJ3!5W^cmb2&aqfM1Jgv%&_0IhQTi2g zj6pKqf~Or+mqN}AEYFx@$lkGVGqZ_!jU~7_&>}L|A&_8^1!c9h%JN3)+gclhIolv6 zFXFiuBzF@dE-+G5VYA7Y%p6KG2cjbLUgkx0GRttEA2V~=(uviW^pH3Vc66i+a&-wa zmn48-nt85dZe_#SW0~4q+>e?u2kNu&sjo2eWNck_eKQt|%q22fJ(KFzTuND+0~OhF zi_|=ec1=;r+4+-k#{r(1q(Cz)iAq>ZOeW-K=;nHZHk3)gZ%qk_bcDqO9D!whtye1-o6qbF4UGLP@6W;F9+s_!Rd9xBHJD#w|ZQ`$kYEpuS)%w-gNN=mwcq!hSV@<{r!N77eZx_MPfvtcA@ z@`d?JgRTpq>p_|z3?1KOVSecq=9eB}e(4eBO^-0Y@(6R-CCpn|7<{v$Y>^$E{B&4u zUzo?(SV$bY;bbpFqC=xB(lJkE{fEj`8pQ8ZuCnTWuX2@@b=*@~?;RQY8%A%0(*o`nZhcB*47fFeo#+ z_UAY~;&&JAyFrvqbYY!yuvP3MV>@Lr2J2u-m+XeO3!5E9rAuFln*3yN%@Y zD(j&}V$b!=dklkeq|2gSMy_-^opZfM=Q>^XMfVuW|4-+x`5w6fJ5VNl&R4Zi(vZHU zg_1Jf>($oRm5l4ia=xK;HN=o1qdlKAA2$qrpOqhD4>~5#Vm@w*iTPx^03OZNFoKYB zJ)z`M&hLA+AhLf~(G5~}2fVd-P?ea)d`gMgGMtz+l{Mcq41L>H2olnHeU|V|M?#(+ z0g?O#M4T=~ep89;mm>eltIES(RsPy80e2^FX_4n)xbU_TxLSIvBPz=N;vJP~eBUBR zC0u}jY0y<+Z_R->M*--w0xm$nRGl5kE6jkY4S^R{0L1N>0dX1p_7iY2GpK?LX>85SOXzIEA81QbP)mV*}F~-&@ow4 zAA3!B?l+Wyb7+7Ba3315lhvUCQa8(^kH99)p!2n+JO!JSwTvRpLD;1E>j;46gd>_s z3+R-r=^Tem%47LFCt{QGSzYpsED@bchi1W2pNmZ@WtQ@2Y|=$^XqHS$osLba;8b}) zHt7;NI*U|&MmFivG!jQ1lTBJn2WU-oQa0%UDjL=!agb(%{{kQS!~l+0k|Xek=sYhe z768x+e*sMju$o2yq8I)`I&_PrGlH;kg};c-7h~~^K#*4Wi|I%&R?Y|j0fj$IXL-%c zX$0utoL0!3f&}3O2LDPrV~ouSg5Y+x&B9-j&X8P!Y-UKQgya(hC)*6GqXejaota+@R!jEWHYmZVa*-UCMI7c$=8Ze*!*ZFRnX=K1xO2O^Mg)CWAh{6 zY<@^calo_rA%P_U-u#e}GDK+eL&hq^XbR8?`3QUX?@_yZ6T_=E((G02S3KMJb1mgrVzs=4?{L*Pc4Vg=&nzkz<4;8zLmttFX~te81lOA?+cRlG;W z($FC2XlP(S#?u3GTS>;qWAQbmfmSCEiI6qbETbb{*(3gI`ln-gi%dm|lxHm>0aOfQWZr}1%annRLO7EPY)^q=gKXA}Q3ZchXLA&(3y^vtQqI^WjGxFF zL^cGi$3xlt!p`PQzF*Os$FB|I{|27j$m6p*k6)`ih{0Q0`Zx*c^xJO@BAt3Rwpc*^ z6HWeG7x|z~9-loiIBpPeJ)Vy=Yn^v69 zWSx(gX*V8R$h}<{L4(^4QH;+I;n=YdS8A%DO*MmV=TIgg>1G=S;m^fW0-7&rn%Opu zlT$+*yukvQ5fOy&bc5(sO|-x!n&H-dXGerd7aInV^x}Dh{9~#r)nZLXn#og|X%TK= znf@HZAliL+j)VRpO@EH22MJ%ME87*mFEf@K2BH5uo*X!!PivCpnk0xUm#aFmy!6yA zF_IESp6iq|nWzP&1)gFS2XhBM~@uwr&(gnPCvKALF?l4C#&wuHG^? z!!kF+RW`#+y;>!QZpKE#AU;XwVSN?6=z0w1wNdjDHbwm4&S)|WqRYeMhtHz>Gnlq% z7+UXz8d#k*h-^Kc5J>5Q4JO?@l+-=+F**%{Xm;b-20FTdgXubl(s}ndjBSQNRNuk# z04Pf| zog|F^wW0WG6mINC9RU4%cmy0AU0T8<`&}e)x0o0Y8wTNTS&nf4XzJ1w&BK}o9Pnw- z!v>{LVK?IV_9LpS(Tt6Yh4Eb#YtPu2CyYn69x^sA5yoRG)|9bvsW2W_vHFaSX9?p8 z72AN(B+Z*`jp<2*@q%HHU{_y&;Rb};uZ4R-3y0lU2=|gf*Y<&X4X&1PTo}VSaj(yMfHHr7R)u5G2S%{Vpw+}Mk!!Q*SRp$cfCyC z^)h{TC{tV1d||w27{u@^c+%mv={6W<`kt5RdtRpR4Q0xb+OsBf%?9!ODV_@OrORZP z?;kbaAZAVIKK{tF7aEbhnphPbuG%wtPyx zPuc2afuFYJp~`v2Bt^oxOZC2Qo{#LNw5<$@U*gGrg>?SQ;iM%eBaD+$PLlD{rEoNm zlP;KIIZwvPdD1KANiF9r&g7)ZBsoKg{h?RvQ?}S-6@OD!p~Rl*y9T*W`4*`PfeiM5 zybx$4`tCp(aI7Sw0~fT{<8BKHPg3v=R0YxtW68pG&ATu%eC&FtU_Zw5G79$}x^Njj z?Liwc1$P&r!cDT87Fh8D>ih$BEXTk(@+JJXwZwua@V^EhERrex5Qu z=OPSIG1{POxMux=Y9z-e-HMk1cBAm`5y;{#k>o`BDLf&N(`8*;F-x@UlGzf)%$l+a znAhUTkeq~ZmP(4c>~zRQU&eB&C(7rA)fn0$FS`7T^IER+;@kN#-S8<7HvK-Jw_S{H zi-DT`Q!3Rel^bUDJxG`bUKw=PkWsG^nnn5BCU&04nH6Fy3T6-l!>;k$#Q{tU&lj;@>GYc94xl0W*sh2#WZ_q9;d5DXxU zwtm0}RdEQy2wKuUTQr@tnGEX=RD(It1Pi5FO62%xvQx^6S3qZrq|xnO%Ro(bg=6aqyZ<(ma<-z7AMA$ zM7PK-^pAza7hK6vTXR#kA?Zy#n({`K zgkD>`IvNHc(y`necWh%3>V46sy1GRj4frQs@bB&+(AnPH+PR1?6SRS4G_~)3?8ISr!&1dJIy`a5rfxP-!@x}*L=uGf@g$iKt^+9Ib z$qsYa!x~uT<7AWmNO)~EzFd!7T3THa zDZn?prjuT?8sD9lMeyByS$MVZISIw#a7CmLU#SXpzq>JtH!d7_gfc|=wfRF{ZJ4p?c3!IK@ZlQSu| z3f{mjh)yagl}S^aq=Mq&NVuvZvQ(Tg6qqljI>4$LT&KX8(}n``#hDI}z6D>2n-Ypw za8*jZPIuDXR#F_UfTf35;}(z^4n`FWVFaw87prD9KAB&MJ2klaBIOnMmK_&KWO%V; zEwfr?dQ<2&hcbMfj|wQqtrQ~Dn_O02P@Eenyev|&7S~#2If=62Mpl(pp&roUq_t-| z2+(<1`N|q<{HR!#PRw$W!xg1Nl4m=~RVAe>suznK2SROQ#fnNaaxuq&@@MzB_5?O8 z)jW6DG+bAKZ~3d>530mG2Ll%bR7NhUSyh3nWmlC}i}_AcRZ&T}xTY*D7C0~xp|Dyk z^g>Ek7vZKAvB-f|pxIQ0t7|HeTdo6!Q;X#1F3CTKZqI<}oKgUT~T~2q+R1}m}7QkJKd~b>rlw=X-Iw_<*RRsFCU%5u0f%AsN zu#?Ysl2;IiB2*T71m)<(GA9|PA1PQ_BbGa$GPoRZ0j`&$D-k`HA6mvu##eM7RZs~7 zE~3(^{z(BU$Xlwu$qmXcR2>on*TRLUeu(3RRhJf2sBVV=EJXskcXcqqv`-@>*mnq- zol7tRNW`Tv>JA|a$~%N8RGNnz>5V&t=v|3Bg!qj+gy>Co2+>>KAw(g0hY-W;JA^2v z?hs-WzC(yY_8meLvF{L~h<%3;Md%J8#-KZdWB_*v$pG#UViepVBmuZXhyl1cXp)2= zG?_y34j~HJcL-60?+~JZ>kc7`>N|ue3=44X5F&uOLr4bY9YPe=cL-4!E`oVyQ^dJL zh+?iggea=+5TYQuBYB6AjOaUroFwPIpl7(+*nQgf3Vokp-aCYdmhTYafVxA7!c

  • G$CvGp=3ShM6>7rDmqu z0ClCBnQZYGP)E~k1UJ}kUmR-9>%xFAK$nTqt=*$u#K_#hEsLJpyM6opHzLtU@a1CM zi$wQD-oE>E;xZ)u>-Ybn25_1x+dpBn&p*{a&KPf`Vp3;L5bid6qM3P`cNX#j8CvdF~MsBytpb3 zMbk#3$m7v+CIYUqB>1FRoD)ut{pS^;6qi6ECwa^3_v$BLd9*Pq2Qp3@gS91_DuIeZ zY9ZOdk=23>NMz1n>;y!XB||wjFQ!RVs#G-Nv?`$%l52vlnKV)))ZnhgNxHTsd#fki zTRl^p>NrDJ$5c>^b?SH8S51-kHp$kgr_v{T%_SWhdt!>N;4@TRsS2LzX5p@sX~WmNHfm3X)15k-F`Ur{l|afq zGQ8$8(;;ySkZDWiu_Bnca(w{1@yXO(O=*@gWR>S^*(P&fYK%mt2IC&eY6NR?tj0G{ z%!Uzik3a^MV7nkTmqhK(l59BFqu<@L1hn0Z(snc2(aD9$Y}5ZQ_Q?O=mYHn86rZ9R zH$Fs5HSnVd{>SpHSk%?oL93^{CL6b?%FDQmnp^8yy6}M#W9o=--5d{AozeRGhQ@_W z$ce(ZeH~#Rh3RXS1?m$&Y>!jf6HK~Jc+#E?@89>>Z2Kd-Ds`0~T6y}z$?ERx&*E1fUex+Jf* zy;-P#lmiN8#j}DTBo@-8@?}Lp`TP%&bOH~BrJM9r(5@eB*5XsG28pm$W1ugJuQldt z=fjmuwMcg$`M&Nq9_zWQYk+>1>HGQCg_~~PbeBJk|DX8&{k{MIcm3v_+|66>x*0XL zXrDj#@~$rbU61YaFXH+!v&Sd;m-jqI5npH2H*Wu3k8QmyZ_AeD4QAmKM)7|#ao?2` zov5RCJV>?z_kprBBQj4!->(vySnbW zdCT&}n+66T!#mI6=kM}&;p$WvnSVT9CZ=wdZ!P^v#22}i@44rf=K}raF8|}tn`cef z^w`YY=MeQ1>BasYSgSd6LL6uUK$Hg&J;y{_?$S)2lUx@2?~8-XwBwS5;>-XPvpHYH z{m<*zQq5mUW#^~j@#)0Pp_T$a&t=$Yo(=YkA9nQFA-QL%L$yJo;_H>7# zP<|qb$O>|YkU(I`q?61{KnYABKrjJ92$CVlna)hlOvC&!(`S($l?Wm#Zo)1q>b`G%Rp(T7O(xA=^?B|-o@Dy_>aFjm z{;SSUox@VePI(JRP3^mDyQG`x-{Z2=CM5rJf0LbFa{@DQ3$wj*x6U|>s5NS@I(>cK z{@amebhlcdrSijDa(`97A&%o!L%Vlx*q}D3jl=72{)Rd>J9WY`wZ3zB+4?;FFO8qlO&^^yqCya%TX+putB*VMBAH4)5H(lN(>tIifoA@i#ivm2;M43$MX5xq@q*qJ-HKHj5ts12A9)^v64QIq!MyZ5LT zG>jJYkfC?wOS`x1UeS{8+ym_e>ft^3**K$f&&UEW55)|@+61*go62_*K}RG)S`pkk zLf~Po)(De3)W$V5J!vG5B165_)&3;kIg;o*px7IU*JqE{wr6yXELgw51agPgW8mA3 z?xm*h(LXa1P6tP}tcP9Xb?St#FVmi$uU$Vpw0pP_MS*5LK^?2+>`K)&x23U@!`IGl zB62rNbt2$AQj4)it(SkX%Hu!EeEKk&Z(fabf!%SQ+DmpP%8Z$rj%woXPW^kcX~CUH z%nV>%TXqxI=~fffbkvjlZ$TBEzDFvMl{azD%uaRl{d!RUGRjH4Rox61dHt6g%$`(} zJFG6hMw?d{@+XaCXHLu=v@W+Idomu;)MHET&ELsgP08`^&b_(3euZl3R3mpOre#@n z-UJrVjPI_vpG~B5{f2z$;b9f;=-jX)J82^Fm%Ez%xu*8P+T7vKx6CLF?b4cek3g+u z3xCue{%WB+Yd3*}UwG)vuS8SP+FRf5Jk%+s8help7 zo{pP<$lTTS+784gKFB0-TpVf1&%t}_kcM@&`=vLdZH;K*4kHXYw0_2l`_&m+w{`8x z&Yqx-+q!K_w*Clprfu7>E%_^T&R6bj$>*k*_r^Exjc-NCu25T0#3LVQ>ADhSI;8GL zey_V9%{$xlR+jDt{ey-_UT5jfT2|HuH66cq->lgDKBgnoowa|jnzyPM+lF^OG`t>} zV|#recQprO&M&zM4|Ax}q zg518OPM4OjLn>u+?F(D(NAV(2L%BiY7_H`rdN|3wqujEJ*#y4=o9~g;l@LVeE=*!3 zbLYe9F#a}hW|KC3XYKhKBKSBI?%t|p>uO)vYqb`t^}}e-dleQRBdZ{@vL#!Kso`xH zo8^NR!8Gv(AC4I7i3@qj$n?^zQ<}_j)PIIzd8wC+PCJj7<>ntR0ob?z*O)nw+hn?s4OP>BsYRkaxK8xB2mWLn9yhwEnZ-_T%}|#IfS}2E)y+{(ZiF>oLul zuetI6JL9<Klu9Gj(v+8f0G~2t<*zq{D2?N zz0zkm@&B{JKes}k=fr2v_Vu~fc`SWyWgbhPJC#4;+P~Si&mG1~-S{PbJoggsYkXuI z;lAM`9ety&$7tP#5PyUdzqlg*+zUKb`nlJ4Ec@KpJ68I*XZIS%e|DvxKW@Q&pBrx) zh;3ioR@>;rUtN)YZk_#WC%&^Hp8I3RiswGqvEsSgb*y;qYCYfapFPjdpWeCZ#&7ZC zxe3+ngW31_@!U*0mVa&@9V?zYMVlP|rh6pqgS$c>aN@JuegE8;`CB*sKP0{m7~2 z6QBKnpHJ>0oaDq`QDL8(2FEIA?hD-4Jg3WPE_RqW_Ajci&kcR;PJFi2Pak*Xz1xjH z$B%C~vpKWXjsJ|qPX^J;$>YZd+$NstLd`|n^!F7h~|6RU+Zi1Tc+Mnm!=RT#P8(;9_ zxe;lR8^2KE>wwWeHxJEo*4bRMzH3~%W~B^w_FU-NSm68M_MI!;_zvF(_uzcniD#eL zSuyW&m&z56O!hLdVe6B-NhaVy5W8;C^_f%3R;cY)+*k2o*Ukt1v~UN+k;s#^!~7nW zGl_xTUyeUt38XI*!+ExTWxDy|yb0o^f%sUP^EGw8Y0-QKgkSpLes=Sc0R72ce9Cb^ z-p{7@Rbhu;H(x!LF)H?TWb{NF-&Dx(8*7DbTI$3WS$veZr_@_0!zb=O^~GiTm3c{t z^=&`KmoaL|+fTJy{aQM``$lv2rR#sbV*UTh6NWxqUn-9yMYklcNatfr%4T9k%Ig4> z0h}EB(z_TE)FWM@`BabLOAJPOsql*QJDQIb>4@NoKZbW1jP!fLD^h;?mHtS_Yd-bI zu-xM=k0YHR@p!%^lUam0fqJADX+G6sc(K7qmk6&&_o8s9NBXMfQ$2?N-C(4z39m>` z)@2wg(rKDc^%$-<7-?R3MY==txM>FZkl^^0gW-n_M*4{GigYXP!7!bqe3P+Te++-t zV5FZHUXk+LlzOBKHJ_%F;qwhfdZF-&^w(g~VR^T+T+gOMH~ydoXee5^=!2%hAR;fD-H`W4|7>1A&gf27MapZa6?a)Xgx zA-p2}iRNQP`hwtzKZaj480kyGE7F?|7JsDcG@troc)h_$ZxLRRo^pujk)EpgRFC0z z8jSQb;T7o*H6JU|9|@kMli?p5jC8l~inNDsT0y;&_G&)$$8ev)NUs)NkzRqfbx@Bq z(tN7NaI3*cmkX~*pM8tyk$zwEsUE{WFc|4`!Yfj4Jf}a>xtdS)7(UZrr1OMVq*Eq} z9_jI#PxTl+!C<5(3a?1}-!6KjS8G1iV{gKchxCNw{K*=}xCA=Q$bjgB;d=a0kCfMT zz$?-#H6JU|s{~K#kKt~Ek@gC&NPn&QSdsol@I;Scp8L@s>F!#flV+whc}Wglh~&niF1;PS<>ln^efR;7K|eo?$T3S;8yQ zZJLi2>1PE`^cen}!AQ3YuSj3ge5^=+CU~O9@XH1x{e|#~^f5ih#ENvM%r}W1!+gOy z%aim8;T7otkYc%z;>}WCxiEa7!AK7hUXk9d`B;(OBRGELV0e?kNIxOGB5l-jOsq(o zWWE6^2g9=sMtZ97iu5y@j}_@=!4o}(w-}66=Df0=^Ip<8$`kZwM#lPM_+^8U{z7;~ zI!n)Ku_A4d`7QCsaHGLUXA7@L2Q(im(m}x!J%-B$BOMZ6kq&Df^8+ZqamBi_>16mJ zgOPqkctv`Vo(p3|x>)ALM33Q%4Muv2@QU+82*gGNVf>DNPnn#jMtz) z5a-$}e8JxtHpSl5A$m-#!0KI+73tlYPumN__ZW=yUf~t#cQlXn zHRy=oi9d$<#!0q4((ehcNNe<(BvzVgKJ~}PbaMtHJwSLxda~v*uYgVyJn_dco_W{) zNb|xg(n~cTE7GNcCwdIG7>sn8@QU7|-Sd4et#Jjox!Ee0c9CcGm3u;yb$`o9EE^ca@)VtE{?SsNKFuG)=NgRkJmD2-^Py5Mq;oZ&>M?w#!AR!`uSmbF`B;%YD0q@ihKCJC z`jGI7bf#Y8#fo&6toITUd_jf^b>+7 zdJKQkV5FZCUXlJl^RXiRzk(-v3_oWu(jN)0NIS<%d6M$oac+BIxMVQWtAtmiAJlxT zNcrMaSC8Qj8I1J9!Yk74nvWIf7X(kz$?z8qM*4v8inLj;nXyg=oh$3-M33P!4MsXo zctv`N=3_EhmhV=)7P=BN?60b4Cy4($8r=R-~U7Jn_fyc7u_AQFukl7jtr)ApMw}A0&DV-)=C{j|;CzpVa3B z7|%eTlJkQ^kKu0_jPz;Y6=@A_7U*$_RP$;67{;y--4;j>5MGf!sd@MVeM<1uAHv@< z80pi(E7G?gDgH>0(tPTV;iC;kdW`UjwB@fvkMuIlr+N%8GZ^W6g;%6^X&&16mGgOT1VydtgD=TfmEJy7$hKZcdTNZ%y9B7KkMV?}zY;E6wmml}-pGT{~J$BvQw zk?PlAIqN}&@6hq(ain(&uSkEY`B;&@BzWSF;h!0d^yk7W(u@Cx_#<7S`80nFUt%!Q zONCdYQ|d&I^mxsudJLanFwzr+SEOq+A1l(ef+y)@_yYzbyBRxTQMf$FjMUV6}&8K>q|0c)wBQlxS@n_rif0Jze zB^z&`1a395HJQ2$UL-o|vB{Om)R&9+$c7wL$kkkr-&%tRsn_>|gvUh+$av8@P``X| z(4rgyMwP)ApNoh)3V*-<16DOP*usEYM4i*x2FlBeoh5!84#$`Hg98^f@E7$hzfuSB zqb=*e+m;L-hYYsI;399BzYGtruo~5$MoqO|FyhhYO6Tv2c!@; z0+%w3DkLO$peb9)d;OL85(kbnr}d@`PX#Jv=xopv6Pbvg*#h66Ydi`%)LHvvISpEOL*iBdXScR8jTPYTygNDaXfJH1v_s= z$TwxnnXr`eR9`@ABc}$Xhg?kqektuAmlzqGU_j;+KhlI+jSMbwK!zKJ>$X#JFe zUw{l>At615$YVfmF$h~Ht~>yF!jpL($RWsPs`X1CpGAdgUs~%oKz`~W2P3PikeyWK z?LfMDex0c^<-jYq{J=qq%m?xV4`~Im9fgz1{0)#+R9}kR1mt*}QKiT|K%VrF9Y9v% zY$lc21>|iwZ%7fo-T595sYRhI#9BX<;gb=sddP`D?!>x2l{p8fcw_SgT0%5T6#C{qJ~E+WZ0*rck}LmpB`$kce77R@18lT%xcKgK?d1Jn#nQs{_!QG zk_Vb1WLV0wmvF#r?8*qL!~Stp&1`M>J1_-fLyFz&|5} zw|mIbKu$W=wmJ&^5Xdzi@(UpONg@Ll$L~Q}J>(G7^bbAc7$8R-=W6j@`>#D@4v?nF zt_(rgKIkFSfZXLFbAWu;Lly(MRkG+e7{h$kj8fov2hlYsRTFXSJfxSP#-uIPhGT$N~pB8MtmW$=*6KrTMmEwR&qto9JzCwfn_Yo`~; zb`QB0$k*q)G9Lx9SJ?wni3P{&r4LWwk@kZuT-s61}^m8>A zNXM+O#rgDo$J_bzOBi#H1>zr^Pv3`LC-dnEXmP%XoliN1N}BlzzVP6D%4b(mut*Q5 z@EZdm&Zqp0qs*uLf=qa{LS`Wx(Fs<aPYz4E(2>OLV8n?rihcrBocn@jf>5m}I z9Yt)M&$EKCBTw5gzRH2G*P!CrY1|a}dM5C-5hb@jU)Kb_SX{oZ&4I6H17FWn;cIQ+ zDv2*As!S*8^XVRpDz>;A>*w>yg0MD}k@pDtv7Yd`%5}JrnriBdlIIH@#Mc zukC>^zT4ij)A(qm<%YdMzOJdl*N(u~&3v=(N*N@nZVb{fv;VGFI9;&siZXaJ@!5u_}ZIj*>Qej zrR9dFf_$y5q70u8e7zF*x+C!QMBuBd3STb=zPPIP+s3xQ*K>id=g05`Vf{P|H>>HD z9_&>fc0Lsu&dZY^;?K)=jnr;pM!Wh{?HcKeSRmx9NRP-cJuDOd_+zH$>qt*?73mR~ zKYe<3Aw7$#NRP<;>C^L5q^Grt^oY!#Ha)hj_UrV}7rG3GX6LXnE31%MU4_gIRmiNX zLguzAWHweIb6*uQ+p3UxpbD8ss*riC3Yn*>kl9s*%yU)9yjX?ID^c)^^7x@jxUM*8M>U~DrcSMH$>vc>7bDZ9V^DlE(aWzoR61kc> z{KBwvgmZx(PtnBJMV04q*93DePszNQv7r?fJfw-&mIa~px8PhiuPxUhg+#*RXvoY5 zj0KOmmU03RTqbdlMj%r?udEGX|^&qj3Gl?8<4McW8^D}>rZK%Jv)=6C-E*?%qcFo>9o?G9RIbPZnNqMwYhj| zKe_lEa`D_4xv+LbhG{-H@nM-alIA1P0`^xsuZ)pqo1WLKOfpJrL~?X{&e+zQ__9}N zX3q=Oy}Tdk_3nm=l}OV(2pAdrEX2jhNMgpQYP`=6yuVoKtAT0u($lmw^=|I${{k{{ z@1~=0RngXh(5~J>51}*{9p$dL_o__B$*IW&H*LZc>eRQv&>yW%<%-!%j%+$#H50+% zM8Y}Dxm8&5jZT_P-d`Uh@3w9H8S~X}G}0saVypHKd(Qas{$*w_v~8p25Z?$w_MY9L zXx>uq>3h+!)w-Ah5GJu+tjY zSr^!OG_b>Gg}f9twg+}@4eUG<*x4S~=?d)J7T9?%u=7A*r#rB7M_}jWzz&}l^Yg`d z*FzdM26kQx>^u_KDF=2o1$M?^LiT*kel)PNGO%-BU}t<_=dr-fHG!SYft{lRJ5L05 zRtI)?uIA;UX>wrasld+Kz|Qu-PCl^nOkn4Rz|I4Koznt4y8=5m26lD?cIF3mo(=4* z3+y}+*jWJufuX7iU+%k#r}+3btJ;$BFJP`H|r+^1nCEIpJ(TP1Ly2eeGfi$FvbxzRpML^kdnNJ}UDn>cXejZXtwBMd)m9!)(vDX< zF95k?p-o}bAq6DY`I(-m*gI4j=<7WaifMZO9a=AX<#Xtv5YWBA=Aw>BQeRPDKi+Q! zt@Euf1Tr&ynW(+HJBk?LjvRehWH1M&1#-m~*|Adh&+JAu67 zA?E@HZSknAhX@`^-Um;Br;J?+}l&= z&&WLv>uVQe9!39QsY}W42C~bub0EqlpNxJ?*;|23g-qI7jsX7?ZHq94!mT9FGh&-2KWv&O3ra5ZEoAvtIGIB-Q%6tSe z+iZH!zdCyQ@M*52VK2?s-SmYctqbnW-sqLj{{eD}hddu>y#u!p>^vytoCjjpkb<0U zZZk-$qt}ZJl6t=e$PUlf-9YTxM(k_@GTD=P7|638!nZH6!c)t?B7!4D{`zq2JxR2p zfub%%x$;Rl zT4G=KWFic0?Hp}TAF0fDA@h8qg?6di#(hakV4C+pCY|w#@FR?i5-lR*fjr|OQ-H{G zM7A{ehN^x~W-$;Rl6O6}U=1L56|L6wkZJa`Zq-`jof6wZ#LL%Lv`n)j^8^sC2-0%? z9*`xTu9Ru(?i(xu zzJM{dt@gq4BgzuLnMm-NW&q-u33md=2tzH+LwRp+J<-$NY?$1P*mU_>}=2{nuqO<2m z=PaIk{@Kx)XP`0Nd)sLs+L-id262pOX7#R@zvf+%^T zFSdrvoYiF0=4Z^!@;)=k^@YjV{)(`o#92I%d4n)+(sf76RIlzB85FYhUpqZNfx83E3D1I4x>!}j%dugXNFL2Jgmq&U*9xM{7>+wMCV zDh>>m`g(m(4~3krX3gA3#3?@B77q**d&`ktRr#6d8z@Gl3a941$ev(F-#}Xt^@nEa z+lF1#^5Ba0QLGnNqA1!s;!&aoid;8)F3?=i=4{#UP0IsuMb5>b?kizluqr|#!cx&V zRu*iNgB1>_0@z2Td^IdviuLIfu4^o}&B}Hdk&Ll0W>uu28BH0Og>4(91Nz0z(Lg(L z>4dT8olQa_(R8mWqB`PUasv9HsMLWb>O`U(jdI&S)Q_yRbr%chcin}-uE;>X3|n%g z5HU}xXA~)oc~a;ws6{6?gKlo{Vs5l|_63t10g~Jn`%n~aN(KiajX5e3<{753QGf?2 z=`@RYd3FE}_AW=3^p|?!g9EY+1+BR5$-qu)ku z6VTQl>rQ9HOkc1eHhRM+J+Z$qFj$O|(ZEDkhNW0c7qI4>USXg_48w#gmG%)&(CrAi zrZs>{)UPM+Ds-3GVvUM)ViWMk9cU~sbz(>?6_7)1iQR*J#ikCS;r!J z!62_`$7I#dffLoL&!!FE)>UM`(YUcH*C+J+l99jT{qNjlOhD4>_q(gM~6)-2- zgh(h&hzYmhWEgHR+;`W{nwf9Na{!8M$!ra3ev!C@c)oqm&Grg(J9Q7xzf z$%IXse0UWjNf~}kpnssqw{8T$j7o`_mB1_vwT?yPpr@y=x0w?buSapY!IYsRwJ+ji zEbQW9VUV++gyv(KWW8HMypDyAHn6IB2K+Hp>TNHsOa*%TdW}zCH0m$%+kMP5U)qm0 ziGj5M=Sivy<#M5|i#D;7T#~1qL}m?i_676O6_5#_dpJve06OWEe^x>q5!yyd@VpD?Zn> zK85#{dwY;L$i!G{^s`b?8Jyl{M0rzNdJVjySh%VlYssN#u_?i`(0B*&fp4>V^b3|b zE_ZV+Wm07dg!7Yp6EYqsl(BfyjSC6yAJp}0G@!1NZ5xJ^2rIhYBm~XDt4OXr_mLDw z1z&{Fr$><7M>{ve5=+2-Nckyz3?7dvrRkYggHQ4qQKgBojJg;SamM$+z8GKgUmW~aoTj4)oi=S&Uq{dS`SEv&`3=2QJ$_iuBVB0{c9|KoZ7;Gz*nmL>6ITIZ( zDs-1RdwbB_v0TRVg92nq0uU98Fd0tjVADGVaMpCvx(^pK^Y!@)?q0L5%X>+d?9ZR>3 zwzRbv_5IdW740!IH{timF1%)~fkYs;8!>khh@BubwyH8li-6fQ?CUT|^w)ZV^w z*FfKjsJzdrkb0cq%nqgnVr|R&KT%{G(~45B78}jkKFxd8!V)qqEN#ttK+tU-HOo80 z#=p6D;`ax0&TlUh=zh)>sMr20rwCp}sr9 zFILC;N_?dIS~A0$>ZJk)>t?L~4CFQ&U2Nx$He!#mYB0Bztf7kjY=Zk*u84DF5nU^7 z0KrmgjEUQ%-<%)%gSa~nO84Thmn?zK;{bUk#z&+*ogkC3Qts{A@qd&i;&jl;0Zx}7 z=SW=0GATqW)iZWSdx6bATqIdRxe<=uXS24d6MaNxLJo;$)s#%gc9F7jrM>-P6wOV_ z%65w@zg0F{CxgLy%!wPj@7YmR7m0q_!z9mG*yn5>uDJEJLv~;;X=HjcC(wF&GN)8H z`RSCUmv(6`QQLadb>gpX^|Jtek)V+E_=Ge{`ex0H3IN>!6%_zPrtP%(xCxTDFrmDx zANbRW9ZGwXzDivwRndsFj#CpsEt$^EOZlS==Uj989`-%p?td}ml@*#RPYG

    *=<# Wlh`h4TC$t>ppBxWr2)xm?LPsj4yaWC literal 0 HcmV?d00001 diff --git a/usb.txt b/usb.txt new file mode 100644 index 0000000..e5ddf63 --- /dev/null +++ b/usb.txt @@ -0,0 +1,127 @@ +-- version +mode before doing anything: 2 +-- enter_swd_mode +-- mode after entering swd mode: 2 +data_len = 4 0x4 + 11 64 00 20 + +-- chip id: 0x6411 +data_len = 4 0x4 + 77 14 a0 2b + +-- core_id: 0x2ba01477 +data_len = 4 0x4 + 41 c2 0f 41 + +cpuid:impl_id = 0x41, variant = 0 +cpuid:part = 0xc24, rev = 0x1 +-- read_sram +data_len = 4 0x4 + 00 40 00 20 + +data_len = 4 0x4 + 55 0c 00 08 + +data_len = 4 0x4 + 99 0c 00 08 + +data_len = 4 0x4 + 99 0c 00 08 + +FP_CTRL +data_len = 4 0x4 + 60 02 00 00 + +data_len = 4 0x4 + 67 45 23 01 + +data_len = 4 0x4 + ef cd ab 89 + +data_len = 4 0x4 + 67 45 23 62 + +data_len = 4 0x4 + ef cd ab 75 + +-- status +-- reset +data_len = 2 0x2 + 80 00 + +data_len = 2 0x2 + 80 00 + +data_len = 2 0x2 + 80 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 67 45 23 01 + +data_len = 4 0x4 + ef cd ab 89 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 40 00 20 + +data_len = 4 0x4 + ff ff ff ff + +data_len = 4 0x4 + 78 56 34 12 + +data_len = 4 0x4 + 00 00 00 01 + +data_len = 4 0x4 + 00 40 00 20 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 4 0x4 + 00 00 00 00 + +data_len = 84 0x54 + 00 00 00 00 00 00 00 00 00 00 00 00 67 45 23 01 ef cd ab 89 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 40 00 20 ff ff ff ff 78 56 34 12 00 00 00 01 00 40 00 20 00 00 00 00 00 00 00 00 00 00 00 00 + +-- status +-- step +-- run +-- exit_debug_mode -- 2.30.2