]> git.gag.com Git - fw/openocd/history - tcl/board/open-bldc.cfg
at91sam9: factorise cpu support
[fw/openocd] / tcl / board / open-bldc.cfg
2009-12-29 Piotr Esden-TempskiAdded Open-BLDC board config file.