]> git.gag.com Git - fw/openocd/history - tcl/board/open-bldc.cfg
Add a board file for the Glyn Tonga2.
[fw/openocd] / tcl / board / open-bldc.cfg
2009-12-29 Piotr Esden-TempskiAdded Open-BLDC board config file.