From: Matt Ettus Date: Thu, 3 Sep 2009 04:27:18 +0000 (-0700) Subject: bring the testbench files up to date X-Git-Url: https://git.gag.com/?a=commitdiff_plain;h=77dc1a9ba4ce9940d974edef8711d3eba85c0608;p=debian%2Fgnuradio bring the testbench files up to date --- diff --git a/usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v b/usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v index 8d8a4795..5ce72697 100644 --- a/usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v +++ b/usrp2/fpga/control_lib/newfifo/fifo_2clock_cascade.v @@ -17,7 +17,7 @@ module fifo_2clock_cascade .dataout(data_int1), .src_rdy_o(src_rdy_int1), .dst_rdy_i(dst_rdy_int1), .space(s1_space), .occupied(s1_occupied) ); - fifo_2clock #(.DWIDTH(WIDTH),.SIZE(SIZE)) fifo_2clock + fifo_2clock #(.WIDTH(WIDTH),.SIZE(SIZE)) fifo_2clock (.wclk(wclk), .datain(data_int1), .src_rdy_i(src_rdy_int1), .dst_rdy_o(dst_rdy_int1), .space(l_space), .rclk(rclk), .dataout(data_int2), .src_rdy_o(src_rdy_int2), .dst_rdy_i(dst_rdy_int2), .occupied(l_occupied), .arst(arst) ); diff --git a/usrp2/fpga/simple_gemac/eth_tasks_f36.v b/usrp2/fpga/simple_gemac/eth_tasks_f36.v index b7fa52c0..efd72778 100644 --- a/usrp2/fpga/simple_gemac/eth_tasks_f36.v +++ b/usrp2/fpga/simple_gemac/eth_tasks_f36.v @@ -5,9 +5,9 @@ task SendFlowCtrl; begin $display("Sending Flow Control, quanta = %d, time = %d", fc_len,$time); pause_time <= fc_len; - @(posedge clk); + @(posedge eth_clk); pause_req <= 1; - @(posedge clk); + @(posedge eth_clk); pause_req <= 0; $display("Sent Flow Control"); end @@ -20,27 +20,27 @@ task SendPacket_to_fifo36; begin $display("Sending Packet Len=%d, %d", data_len, $time); count <= 2; - tx_f36_dat <= {2'b0, 1'b0, 1'b1, data_start}; + tx_f36_data <= {2'b0, 1'b0, 1'b1, data_start}; tx_f36_src_rdy <= 1; #1; while(count < data_len) begin while(~tx_f36_dst_rdy) - @(posedge clk); - @(posedge clk); - tx_f36_dat[31:0] = tx_f36_dat[31:0] + 32'h0101_0101; + @(posedge sys_clk); + @(posedge sys_clk); + tx_f36_data[31:0] = tx_f36_data[31:0] + 32'h0101_0101; count = count + 4; - tx_f36_dat[32] <= 0; + tx_f36_data[32] <= 0; end - tx_f36_dat[3] <= 1; + tx_f36_data[33] <= 1; while(~tx_f36_dst_rdy) - @(posedge clk); - @(posedge clk); + @(posedge sys_clk); + @(posedge sys_clk); tx_f36_src_rdy <= 0; end endtask // SendPacket_to_fifo36 - +/* task Waiter; input [31:0] wait_length; begin @@ -50,7 +50,9 @@ task Waiter; tx_ll_src_rdy2 <= 1; end endtask // Waiter +*/ +/* task SendPacketFromFile_f36; input [31:0] data_len; input [31:0] wait_length; @@ -63,9 +65,9 @@ task SendPacketFromFile_f36; while(~tx_f36_dst_rdy) @(posedge clk); - tx_f36_data2 <= pkt_rom[0]; + tx_f36_data <= pkt_rom[0]; tx_f36_src_rdy <= 1; - tx_ll_eof2 <= 0; + tx_f36_eof <= 0; @(posedge clk); for(i=1;i