variable length delay line, based on srl16
authormatt <matt@221aa14e-8319-0410-a670-987f0aec2ac5>
Wed, 1 Apr 2009 02:26:19 +0000 (02:26 +0000)
committermatt <matt@221aa14e-8319-0410-a670-987f0aec2ac5>
Wed, 1 Apr 2009 02:26:19 +0000 (02:26 +0000)
git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@10729 221aa14e-8319-0410-a670-987f0aec2ac5

usrp2/fpga/simple_gemac/delay_line.v [new file with mode: 0644]

diff --git a/usrp2/fpga/simple_gemac/delay_line.v b/usrp2/fpga/simple_gemac/delay_line.v
new file mode 100644 (file)
index 0000000..3d76c49
--- /dev/null
@@ -0,0 +1,21 @@
+
+
+module delay_line
+  #(parameter WIDTH=32)
+   (input clk,
+    input [3:0] delay,
+    input [WIDTH-1:0] din,
+    output [WIDTH-1:0] dout);
+    
+   integer i;
+   generate
+      for (i=0;i<WIDTH;i=i+1)
+       begin : gen_delay
+          SRL16E
+            srl16e(.Q(dout[i]),
+                   .A0(delay[0]),.A1(delay[1]),.A2(delay[2]),.A3(delay[3]),
+                   .CE(1),.CLK(clk),.D(din[i]));
+       end
+   endgenerate
+
+endmodule // delay_line