implemented "reset master clock on next PPS" so we can easily sync multiple units...
authormatt <matt@221aa14e-8319-0410-a670-987f0aec2ac5>
Fri, 5 Dec 2008 00:36:22 +0000 (00:36 +0000)
committermatt <matt@221aa14e-8319-0410-a670-987f0aec2ac5>
Fri, 5 Dec 2008 00:36:22 +0000 (00:36 +0000)
git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@10103 221aa14e-8319-0410-a670-987f0aec2ac5

usrp2/firmware/lib/memory_map.h
usrp2/fpga/timing/time_sync.v

index e03e767845dcf77dd3efc4fd410f9a7328f0d9b4..0b7412fecfd085dc625037e507506bc59cff89a2 100644 (file)
@@ -622,6 +622,7 @@ typedef struct {
   volatile uint32_t tick_control;
   volatile uint32_t tick_interval;
   volatile uint32_t delta_time;
+  volatile uint32_t sync_on_next_pps;
 } timesync_regs_t;
 
 #define timesync_regs ((timesync_regs_t *) TIMESYNC_BASE)
index 990674c61f432f191012a2ffaf8ea64a4b6addf2..04999190b7a27d0d8bb0bbfc8ebe57be2631ed5c 100644 (file)
@@ -18,6 +18,7 @@ module time_sync
    wire        tick_free_run;
    reg                tick_int_enable, tick_source, external_sync;
    reg [31:0]  tick_interval;
+   reg                sync_on_next_pps;
    
    // Generate master time
    always @(posedge sys_clk_i)
@@ -25,6 +26,8 @@ module time_sync
        master_time <= 0;
      else if(external_sync & sync_rcvd)
        master_time <= master_time_rcvd + delta_time;
+     else if(pps_ext & sync_on_next_pps)
+       master_time <= 0;
      else
        master_time <= master_time + 1;
    assign      master_time_o = master_time;
@@ -42,7 +45,10 @@ module time_sync
       .exp_pps_in(exp_pps_in) );
 
    assign     ack_o = stb_i;
-
+   wire       wb_write = cyc_i & stb_i & we_i;
+   wire       wb_read = cyc_i & stb_i & ~we_i;
+   wire       wb_acc = cyc_i & stb_i;
+   
    always @(posedge wb_clk_i)
      if(rst_i)
        begin
@@ -52,17 +58,30 @@ module time_sync
          tick_interval <= 100000-1;  // default to 1K times per second
          delta_time <= 0;
        end
-     else if(stb_i & we_i)
-       if(adr_i[2:0] == 2)
-        delta_time <= dat_i;
-       else if(adr_i[2:0] == 1)
-        tick_interval <= dat_i;
-       else
-        begin
-           tick_source <= dat_i[0];
-           tick_int_enable <= dat_i[1];
-           external_sync <= dat_i[2];
-        end
+     else if(wb_write)
+       case(adr_i[2:0])
+        3'd0 :
+          begin
+             tick_source <= dat_i[0];
+             tick_int_enable <= dat_i[1];
+             external_sync <= dat_i[2];
+          end
+        3'd1 :
+          tick_interval <= dat_i;
+        3'd2 :
+          delta_time <= dat_i;
+        3'd3 :
+          ;
+        // Do nothing here, this is to arm the sync_on_next
+       endcase // case(adr_i[2:0])
+
+   always @(posedge sys_clk_i)
+     if(rst_i)
+       sync_on_next_pps <= 0;
+     else if(pps_ext)
+       sync_on_next_pps <= 0;
+     else if(wb_write & (adr_i[2:0] == 3))
+       sync_on_next_pps <= 0;
    
    always @(posedge sys_clk_i)
      if(internal_tick)