# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
-I$(top_builddir)/gnuradio-core/src/lib/swig \
$(FFTW3F_CFLAGS)
-
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
As a last resort, build it from source (not recommended!)
http://www.wxpython.org
+(11) xmlto version ? or later. http://cyberelk.net/tim/xmlto/index.html
+
+Wrapper for XML conversion tools to ease e.g. making html from docbook.
+
----------------------------------------------------------------
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
AC_REQUIRE([AM_PATH_PYTHON])
AC_REQUIRE([AC_CANONICAL_HOST])
+ # For Fedora Core 5 and 6, see ticket:39 in Trac
+ if test -f '/etc/redhat-release'; then
+ if (echo $pyexecdir | grep -q lib64); then
+ pythondir="$pyexecdir"
+ fi
+ fi
+
# Check for Python include path
AC_MSG_CHECKING([for Python include path])
if test -z "$PYTHON" ; then
PYTHON_LDFLAGS="-L$python_stdlib_path -l$libpython_name"
AC_MSG_RESULT($PYTHON_LDFLAGS)
# Replace all backslashes in PYTHON Paths with forward slashes
- AC_MSG_CHECKING([for pythondir])
pythondir=`echo $pythondir |sed 's,\\\\,/,g'`
- AC_MSG_RESULT($pythondir)
- AC_SUBST([pythondir])
- AC_MSG_CHECKING([for pkgpythondir])
pkgpythondir=`echo $pkgpythondir |sed 's,\\\\,/,g'`
- AC_MSG_RESULT($pkgpythondir)
- AC_SUBST([pkgpythondir])
- AC_MSG_CHECKING([for pyexecdir])
pyexecdir=`echo $pyexecdir |sed 's,\\\\,/,g'`
- AC_MSG_RESULT($pyexecdir)
- AC_SUBST([pyexecdir])
- AC_MSG_CHECKING([for pkgpyexecdir])
pkgpyexecdir=`echo $pkgpyexecdir |sed 's,\\\\,/,g'`
- AC_MSG_RESULT($pkgpyexecdir)
- AC_SUBST([pkgpyexecdir])
;;
esac
gr-wxgui/src/python/Makefile \
])
- # FIXME: this breaks pkgsrc by calling python without a version number
- # gdt--patch welcome :-)
- if python -c 'import wx'; then
+ if ${PYTHON} -c 'import wx'; then
passed=yes
else
passed=no
dnl Test whether C++ has std::isnan
AC_MSG_CHECKING(whether C++ has std::isnan)
- AC_TRY_COMPILE([#include <math.h>], [
+ AC_TRY_COMPILE([#include <cmath>], [
std::isnan(0);
], [ AC_MSG_RESULT(yes)
AC_DEFINE(CXX_HAS_STD_ISNAN,[],[Define if has std::isnan]) ],
# Define the identity of the package.
PACKAGE=gnuradio
- VERSION=3.0.2
+ VERSION=3.0.3
cat >>confdefs.h <<_ACEOF
cat confdefs.h >>conftest.$ac_ext
cat >>conftest.$ac_ext <<_ACEOF
/* end confdefs.h. */
-#include <math.h>
+#include <cmath>
int
main ()
{
+ # For Fedora Core 5 and 6, see ticket:39 in Trac
+ if test -f '/etc/redhat-release'; then
+ if (echo $pyexecdir | grep -q lib64); then
+ pythondir="$pyexecdir"
+ fi
+ fi
+
# Check for Python include path
{ echo "$as_me:$LINENO: checking for Python include path" >&5
echo $ECHO_N "checking for Python include path... $ECHO_C" >&6; }
{ echo "$as_me:$LINENO: result: $PYTHON_LDFLAGS" >&5
echo "${ECHO_T}$PYTHON_LDFLAGS" >&6; }
# Replace all backslashes in PYTHON Paths with forward slashes
- { echo "$as_me:$LINENO: checking for pythondir" >&5
-echo $ECHO_N "checking for pythondir... $ECHO_C" >&6; }
pythondir=`echo $pythondir |sed 's,\\\\,/,g'`
- { echo "$as_me:$LINENO: result: $pythondir" >&5
-echo "${ECHO_T}$pythondir" >&6; }
-
- { echo "$as_me:$LINENO: checking for pkgpythondir" >&5
-echo $ECHO_N "checking for pkgpythondir... $ECHO_C" >&6; }
pkgpythondir=`echo $pkgpythondir |sed 's,\\\\,/,g'`
- { echo "$as_me:$LINENO: result: $pkgpythondir" >&5
-echo "${ECHO_T}$pkgpythondir" >&6; }
-
- { echo "$as_me:$LINENO: checking for pyexecdir" >&5
-echo $ECHO_N "checking for pyexecdir... $ECHO_C" >&6; }
pyexecdir=`echo $pyexecdir |sed 's,\\\\,/,g'`
- { echo "$as_me:$LINENO: result: $pyexecdir" >&5
-echo "${ECHO_T}$pyexecdir" >&6; }
-
- { echo "$as_me:$LINENO: checking for pkgpyexecdir" >&5
-echo $ECHO_N "checking for pkgpyexecdir... $ECHO_C" >&6; }
pkgpyexecdir=`echo $pkgpyexecdir |sed 's,\\\\,/,g'`
- { echo "$as_me:$LINENO: result: $pkgpyexecdir" >&5
-echo "${ECHO_T}$pkgpyexecdir" >&6; }
-
;;
esac
ac_config_files="$ac_config_files gr-wxgui/Makefile gr-wxgui/src/Makefile gr-wxgui/src/python/Makefile"
- # FIXME: this breaks pkgsrc by calling python without a version number
- # gdt--patch welcome :-)
- if python -c 'import wx'; then
+ if ${PYTHON} -c 'import wx'; then
passed=yes
else
passed=no
AM_CONFIG_HEADER(config.h)
AC_CANONICAL_HOST
AC_CANONICAL_TARGET([])
-AM_INIT_AUTOMAKE(gnuradio,3.0.2)
+AM_INIT_AUTOMAKE(gnuradio,3.0.3)
AC_CONFIG_SRCDIR([gnuradio-core/src/lib/runtime/gr_vmcircbuf.cc])
GR_X86_64 dnl check for lib64 suffix
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# The PROJECT_NAME tag is a single word (or a sequence of words surrounded
# by quotes) that should identify the project.
-PROJECT_NAME = "GNU Radio 2.x"
+PROJECT_NAME = "GNU Radio @VERSION@"
# The PROJECT_NUMBER tag can be used to enter a project or revision number.
# This could be handy for archiving the generated documentation or
INPUT = @top_srcdir@/gnuradio-core/src/lib \
@top_srcdir@/gnuradio-core/src/tests \
- @top_srcdir@/gnuradio-core/doc/other
+ @top_srcdir@/gnuradio-core/doc/other \
+ @top_srcdir@/gr-audio-alsa \
+ @top_srcdir@/gr-audio-jack \
+ @top_srcdir@/gr-audio-oss \
+ @top_srcdir@/gr-audio-osx \
+ @top_srcdir@/gr-audio-portaudio \
+ @top_srcdir@/gr-gsm-fr-vocoder \
+ @top_srcdir@/gr-radio-astronomy \
+ @top_srcdir@/gr-trellis \
+ @top_srcdir@/gr-usrp \
+ @top_srcdir@/gr-video-sdl \
+ @top_srcdir@/usrp/host
+
# If the value of the INPUT tag contains directories, you can use the
# FILE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp
# excluded from the INPUT source files. This way you can easily exclude a
# subdirectory from a directory tree whose root is specified with the INPUT tag.
-EXCLUDE = CVS \
- @top_srcdir@/gnuradio-core/src/lib/swig/gnuradio_swig_python.cc \
- @top_srcdir@/gnuradio-core/src/lib/atsc \
- @top_srcdir@/gnuradio-core/src/lib/io-orig \
- @top_srcdir@/gnuradio-core/src/lib/vrx
+EXCLUDE = CVS .svn .libs .deps \
+ @top_builddir@/gnuradio-core/src/lib/swig/gnuradio_swig_python_.cc \
+ @top_builddir@/gr-atsc/src/lib/atsc.cc \
+ @top_builddir@/gr-audio-alsa/src/audio_alsa.cc \
+ @top_builddir@/gr-audio-jack/src/audio_jack.cc \
+ @top_builddir@/gr-audio-oss/src/audio_oss.cc \
+ @top_builddir@/gr-audio-osx/src/audio_osx.cc \
+ @top_builddir@/gr-audio-portaudio/src/audio_portaudio.cc \
+ @top_builddir@/gr-audio-windows/src/audio_windows.cc \
+ @top_builddir@/gr-gsm-fr-vocoder/src/lib/gsm_full_rate.cc \
+ @top_builddir@/gr-radio-astronomy/src/lib/ra.cc \
+ @top_builddir@/gr-trellis/src/lib/trellis.cc \
+ @top_builddir@/gr-usrp/src/usrp1.cc \
+ @top_builddir@/gr-video-sdl/src/video_sdl.cc \
+ @top_builddir@/usrp/host/swig/prims.cc
+
# The EXCLUDE_SYMLINKS tag can be used select whether or not files or
# directories that are symbolic links (a Unix filesystem feature) are excluded
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
libgnuradio_core_qa_la_SOURCES = bug_work_around_6.cc
libgnuradio_core_qa_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0 \
$(LIBGNURADIO_CORE_EXTRA_LDFLAGS)
-
libgnuradio_core_la_LIBADD = \
filter/libfilter.la \
g72x/libccitt.la \
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
$(GENERATED_H) $(GENERATED_I) $(GENERATED_CC): $(CODE_GENERATOR)
- PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(srcdir)/generate_all.py
+ PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
BUILT_SOURCES = $(GENERATED_H) $(GENERATED_I) $(GENERATED_CC)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# include $(srcdir)/Makefile.gen
$(GENERATED_H) $(GENERATED_I) $(GENERATED_CC): $(CODE_GENERATOR)
- PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(srcdir)/generate_all.py
+ PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
# Tell versions [3.59,3.63) of GNU make to not export all variables.
# Otherwise a system limit (for SysV at least) may be exceeded.
.NOEXPORT:
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
#
-# Copyright 2001,2002,2004,2006 Free Software Foundation, Inc.
+# Copyright 2001,2002,2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(GENERATED_H) $(GENERATED_I) $(GENERATED_CC): $(CODE_GENERATOR)
- PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(srcdir)/generate_all.py
+ PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
BUILT_SOURCES = $(GENERATED_H) $(GENERATED_I) $(GENERATED_CC)
@SET_MAKE@
#
-# Copyright 2001,2002,2004,2006 Free Software Foundation, Inc.
+# Copyright 2001,2002,2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# include $(srcdir)/Makefile.gen
$(GENERATED_H) $(GENERATED_I) $(GENERATED_CC): $(CODE_GENERATOR)
- PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(srcdir)/generate_all.py
+ PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
# Tell versions [3.59,3.63) of GNU make to not export all variables.
# Otherwise a system limit (for SysV at least) may be exceeded.
.NOEXPORT:
gr_make_io_signature (1, 1, sizeof(char)),
gr_make_io_signature (1, 1, sizeof(char))),
d_data_reg(0), d_flag_reg(0), d_flag_bit(0), d_mask(0),
- d_threshold(threshold), d_flip(0)
+ d_threshold(threshold)
{
if (!set_access_code(access_code)){
// compute output value
unsigned int t = 0;
- t |= d_flip ^ (((d_data_reg >> 63) & 0x1) << 0);
+ t |= ((d_data_reg >> 63) & 0x1) << 0;
t |= ((d_flag_reg >> 63) & 0x1) << 1; // flag bit
out[i] = t;
wrong_bits = (d_data_reg ^ d_access_code) & d_mask;
nwrong = gr_count_bits64(wrong_bits);
- // test for access code with up to threshold errors or its compelement
- new_flag = (nwrong <= d_threshold) || (nwrong >= (64-d_threshold));
+ // test for access code with up to threshold errors
+ new_flag = (nwrong <= d_threshold);
#if 0
if(new_flag) {
d_flag_reg = (d_flag_reg << 1);
if (new_flag) {
d_flag_reg |= d_flag_bit;
- d_flip = nwrong >= (64-d_threshold); // flip bits if this is true
}
}
unsigned long long d_mask; // masks access_code bits (top N bits are set where
// N is the number of bits in the access code)
unsigned int d_threshold; // how many bits may be wrong in sync vector
- unsigned int d_flip; // flip bits if 180 degress out of sync
-
protected:
gr_correlate_access_code_bb(const std::string &access_code, int threshold);
for (int n = -M; n <= M; n++){
if (n == 0)
- taps[n + M] = (1.0 + (fwT0 - fwT1)) / M_PI * w[n + M];
+ taps[n + M] = 1.0 + ((fwT0 - fwT1) / M_PI * w[n + M]);
else {
taps[n + M] = (sin (n * fwT0) - sin (n * fwT1)) / (n * M_PI) * w[n + M];
}
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
d_update_rate (20),
d_trigger_level (0),
d_obi (0),
- d_state (LOOK_FOR_TRIGGER),
+ d_state (HOLD_OFF),
d_decimator_count (0),
d_decimator_count_init (1),
d_hold_off_count (0),
- d_hold_off_count_init (0),
+ d_hold_off_count_init (OUTPUT_RECORD_SIZE/2-1),
d_post_trigger_count (0),
d_post_trigger_count_init (OUTPUT_RECORD_SIZE/2),
d_prev_sample (0)
for (int i = 0; i < MAX_CHANNELS; i++)
d_buffer[i] = 0;
- for (int i = 0; i < d_nchannels; i++)
+ for (int i = 0; i < d_nchannels; i++){
d_buffer[i] = new float [OUTPUT_RECORD_SIZE];
+ for (int j = 0; j < OUTPUT_RECORD_SIZE; j++)
+ d_buffer[i][j] = 0.0;
+ }
+ // be sure buffer is full before first write
+ enter_hold_off ();
update_rate_or_decimation_changed ();
- enter_look_for_trigger ();
}
gr_oscope_guts::~gr_oscope_guts ()
float *out = (float *)msg->msg(); // get pointer to raw message buffer
for (int ch = 0; ch < d_nchannels; ch++){
- // note that d_obi points at the oldest sample in the buffer
+ // note that d_obi + 1 points at the oldest sample in the buffer
for (int i = 0; i < OUTPUT_RECORD_SIZE; i++)
- out[i] = d_buffer[ch][wrap_bi(d_obi + i)];
+ out[i] = d_buffer[ch][wrap_bi(d_obi + 1 + i)];
out += OUTPUT_RECORD_SIZE;
}
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
if ((shmid2 = shmget (IPC_PRIVATE, 2 * size + 2 * pagesize, IPC_CREAT | 0700)) == -1){
perror ("gr_vmcircbuf_sysv_shm: shmget (1)");
+ shmctl (shmid_guard, IPC_RMID, 0);
throw std::runtime_error ("gr_vmcircbuf_sysv_shm");
}
if ((shmid1 = shmget (IPC_PRIVATE, size, IPC_CREAT | 0700)) == -1){
perror ("gr_vmcircbuf_sysv_shm: shmget (2)");
+ shmctl (shmid_guard, IPC_RMID, 0);
shmctl (shmid2, IPC_RMID, 0);
throw std::runtime_error ("gr_vmcircbuf_sysv_shm");
}
_gnuradio_swig_python_la_LIBADD = \
- $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la \
+ $(GNURADIO_CORE_LA) \
$(PYTHON_LDFLAGS) \
-lstdc++
gnuradio_swig_bug_workaround.h : gnuradio_swig_python.cc $(srcdir)/gen-swig-bug-fix
- $(srcdir)/gen-swig-bug-fix gnuradio_swig_python.cc $@
+ $(PYTHON) $(srcdir)/gen-swig-bug-fix gnuradio_swig_python.cc $@
# ----------------------------------------------------------------
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
"$(DESTDIR)$(swigincludedir)"
grgrlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(grgrlib_LTLIBRARIES)
-am__DEPENDENCIES_1 =
-_gnuradio_swig_python_la_DEPENDENCIES = \
- $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la \
- $(am__DEPENDENCIES_1)
+am__DEPENDENCIES_1 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
+am__DEPENDENCIES_2 =
+_gnuradio_swig_python_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+ $(am__DEPENDENCIES_2)
am__gnuradio_swig_python_la_OBJECTS = gnuradio_swig_python.lo
_gnuradio_swig_python_la_OBJECTS = \
$(am__gnuradio_swig_python_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
gnuradio_swig_python.cc
_gnuradio_swig_python_la_LIBADD = \
- $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la \
+ $(GNURADIO_CORE_LA) \
$(PYTHON_LDFLAGS) \
-lstdc++
else rm -f gnuradio_swig_python.Td; exit 1; fi
gnuradio_swig_bug_workaround.h : gnuradio_swig_python.cc $(srcdir)/gen-swig-bug-fix
- $(srcdir)/gen-swig-bug-fix gnuradio_swig_python.cc $@
+ $(PYTHON) $(srcdir)/gen-swig-bug-fix gnuradio_swig_python.cc $@
# ----------------------------------------------------------------
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_def_verbose = False
_def_log = False
-_def_costas_alpha = 0.05
+_def_costas_alpha = None
_def_gain_mu = 0.03
_def_mu = 0.05
_def_omega_relative_limit = 0.005
# Costas loop (carrier tracking)
- # FIXME: need to decide how to handle this more generally; do we pull it from higher layer?
- costas_order = 2
- beta = .25 * self._costas_alpha * self._costas_alpha
- self.costas_loop = gr.costas_loop_cc(self._costas_alpha, beta, 0.002, -0.002, costas_order)
+ # The Costas loop is not needed for BPSK, though it can help. Turn the Costas loop on
+ # by setting an alpha value not None.
+ if self._costas_alpha is not None:
+ costas_order = 2
+ beta = .25 * self._costas_alpha * self._costas_alpha
+ self.costas_loop = gr.costas_loop_cc(self._costas_alpha, beta, 0.002, -0.002, costas_order)
# RRC data filter
ntaps = 11 * self._samples_per_symbol
self._setup_logging()
# Connect and Initialize base class
- self._fg.connect(self.pre_scaler, self.agc, self.costas_loop,
- self.rrc_filter, self.clock_recovery, self.diffdec,
- self.slicer, self.symbol_mapper, self.unpack)
+ if self._costas_alpha is not None: # With Costas Loop
+ self._fg.connect(self.pre_scaler, self.agc, self.costas_loop,
+ self.rrc_filter, self.clock_recovery, self.diffdec,
+ self.slicer, self.symbol_mapper, self.unpack)
+ else: # Without Costas Loop
+ self._fg.connect(self.pre_scaler, self.agc,
+ self.rrc_filter, self.clock_recovery, self.diffdec,
+ self.slicer, self.symbol_mapper, self.unpack)
gr.hier_block.__init__(self, self._fg, self.pre_scaler, self.unpack)
print "bits per symbol = %d" % self.bits_per_symbol()
print "Gray code = %s" % self._gray_code
print "RRC roll-off factor = %.2f" % self._excess_bw
- print "Costas Loop alpha = %.5f" % self._costas_alpha
+ if self._costas_alpha is not None:
+ print "Costas Loop alpha = %.5f" % self._costas_alpha
+ else:
+ print "Costas Loop is turned off"
print "M&M symbol sync gain = %.5f" % self._gain_mu
print "M&M symbol sync mu = %.5f" % self._mu
print "M&M omega relative limit = %.5f" % self._omega_relative_limit
gr.file_sink(gr.sizeof_gr_complex, "prescaler.dat"))
self._fg.connect(self.agc,
gr.file_sink(gr.sizeof_gr_complex, "agc.dat"))
- self._fg.connect(self.costas_loop,
- gr.file_sink(gr.sizeof_gr_complex, "costas_loop.dat"))
- self._fg.connect((self.costas_loop,1),
- gr.file_sink(gr.sizeof_gr_complex, "costas_error.dat"))
+ if self._costas_alpha is not None:
+ self._fg.connect(self.costas_loop,
+ gr.file_sink(gr.sizeof_gr_complex, "costas_loop.dat"))
+ self._fg.connect((self.costas_loop,1),
+ gr.file_sink(gr.sizeof_gr_complex, "costas_error.dat"))
self._fg.connect(self.rrc_filter,
gr.file_sink(gr.sizeof_gr_complex, "rrc_filter.dat"))
self._fg.connect(self.clock_recovery,
_def_verbose = False
_def_log = False
-_def_costas_alpha = 0.10
+_def_costas_alpha = None
_def_gain_mu = 0.03
_def_mu = 0.05
_def_omega_relative_limit = 0.005
self.agc = gr.feedforward_agc_cc(16, 1.0)
# Costas loop (carrier tracking)
- # FIXME: need to decide how to handle this more generally; do we pull it from higher layer?
- costas_order = 4
- beta = .25 * self._costas_alpha * self._costas_alpha
- #self.costas_loop = gr.costas_loop_cc(self._costas_alpha, beta, 0.1, -0.1, costas_order)
- self.costas_loop = gr.costas_loop_cc(self._costas_alpha, beta, 0.002, -0.002, costas_order)
+ if self._costas_alpha is None: # If no alpha value was specified by the user
+ alpha_dir = {2:0.075, 3:0.09, 4:0.09, 5:0.095, 6:0.10, 7:0.105}
+ self._costas_alpha = alpha_dir[self._samples_per_symbol]
+
+ costas_order = 4
+ # The value of beta is now set to be underdamped; this value can have a huge impact on the
+ # performance of QPSK. Set to 0.25 for critically damped or higher for underdamped responses.
+ beta = .35 * self._costas_alpha * self._costas_alpha
+ self.costas_loop = gr.costas_loop_cc(self._costas_alpha, beta, 0.02, -0.02, costas_order)
# RRC data filter
ntaps = 11 * samples_per_symbol
qa_message.py \
qa_mute.py \
qa_nlog10.py \
+ qa_noise.py \
qa_packed_to_unpacked.py \
qa_pipe_fittings.py \
qa_rational_resampler.py \
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
qa_message.py \
qa_mute.py \
qa_nlog10.py \
+ qa_noise.py \
qa_packed_to_unpacked.py \
qa_pipe_fittings.py \
qa_rational_resampler.py \
--- /dev/null
+#!/usr/bin/env python
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING. If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+from gnuradio import gr, gr_unittest
+
+class test_noise_source(gr_unittest.TestCase):
+
+ def setUp (self):
+ self.fg = gr.flow_graph ()
+
+ def tearDown (self):
+ self.fg = None
+
+ def test_001(self):
+ # Just confirm that we can instantiate a noise source
+ op = gr.noise_source_f(gr.GR_GAUSSIAN, 10, 10)
+
+if __name__ == '__main__':
+ gr_unittest.main ()
+
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
#Test program to test setting up buffers using gr_test which can be run manually
EXTRA_DIST = \
test_buffers.py
-
+
noinst_PROGRAMS = \
benchmark_dotprod \
benchmark_dotprod_fsf \
test_vmcircbuf
-LIBGNURADIO = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
+LIBGNURADIO = $(GNURADIO_CORE_LA)
LIBGNURADIOQA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core-qa.la $(LIBGNURADIO)
benchmark_dotprod_SOURCES = benchmark_dotprod.cc
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
benchmark_dotprod_OBJECTS = $(am_benchmark_dotprod_OBJECTS)
am__DEPENDENCIES_1 = \
$(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
-benchmark_dotprod_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+benchmark_dotprod_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_dotprod_ccc_OBJECTS = benchmark_dotprod_ccc.$(OBJEXT)
benchmark_dotprod_ccc_OBJECTS = $(am_benchmark_dotprod_ccc_OBJECTS)
-benchmark_dotprod_ccc_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_dotprod_ccc_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_dotprod_ccf_OBJECTS = benchmark_dotprod_ccf.$(OBJEXT)
benchmark_dotprod_ccf_OBJECTS = $(am_benchmark_dotprod_ccf_OBJECTS)
-benchmark_dotprod_ccf_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_dotprod_ccf_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_dotprod_fcc_OBJECTS = benchmark_dotprod_fcc.$(OBJEXT)
benchmark_dotprod_fcc_OBJECTS = $(am_benchmark_dotprod_fcc_OBJECTS)
-benchmark_dotprod_fcc_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_dotprod_fcc_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_dotprod_fsf_OBJECTS = benchmark_dotprod_fsf.$(OBJEXT)
benchmark_dotprod_fsf_OBJECTS = $(am_benchmark_dotprod_fsf_OBJECTS)
-benchmark_dotprod_fsf_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_dotprod_fsf_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_dotprod_scc_OBJECTS = benchmark_dotprod_scc.$(OBJEXT)
benchmark_dotprod_scc_OBJECTS = $(am_benchmark_dotprod_scc_OBJECTS)
-benchmark_dotprod_scc_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_dotprod_scc_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_nco_OBJECTS = benchmark_nco.$(OBJEXT)
benchmark_nco_OBJECTS = $(am_benchmark_nco_OBJECTS)
-benchmark_nco_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_nco_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_benchmark_vco_OBJECTS = benchmark_vco.$(OBJEXT)
benchmark_vco_OBJECTS = $(am_benchmark_vco_OBJECTS)
-benchmark_vco_DEPENDENCIES = $(am__DEPENDENCIES_1)
+benchmark_vco_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_test_all_OBJECTS = test_all.$(OBJEXT)
test_all_OBJECTS = $(am_test_all_OBJECTS)
-am__DEPENDENCIES_2 = \
+am__DEPENDENCIES_3 = \
$(top_builddir)/gnuradio-core/src/lib/libgnuradio-core-qa.la \
- $(am__DEPENDENCIES_1)
-test_all_DEPENDENCIES = $(am__DEPENDENCIES_2)
+ $(am__DEPENDENCIES_2)
+test_all_DEPENDENCIES = $(am__DEPENDENCIES_3)
am_test_filter_OBJECTS = test_filter.$(OBJEXT)
test_filter_OBJECTS = $(am_test_filter_OBJECTS)
-test_filter_DEPENDENCIES = $(am__DEPENDENCIES_2)
+test_filter_DEPENDENCIES = $(am__DEPENDENCIES_3)
am_test_general_OBJECTS = test_general.$(OBJEXT)
test_general_OBJECTS = $(am_test_general_OBJECTS)
-test_general_DEPENDENCIES = $(am__DEPENDENCIES_2)
+test_general_DEPENDENCIES = $(am__DEPENDENCIES_3)
am_test_runtime_OBJECTS = test_runtime.$(OBJEXT)
test_runtime_OBJECTS = $(am_test_runtime_OBJECTS)
-test_runtime_DEPENDENCIES = $(am__DEPENDENCIES_2)
+test_runtime_DEPENDENCIES = $(am__DEPENDENCIES_3)
am_test_vmcircbuf_OBJECTS = test_vmcircbuf.$(OBJEXT)
test_vmcircbuf_OBJECTS = $(am_test_vmcircbuf_OBJECTS)
-test_vmcircbuf_DEPENDENCIES = $(am__DEPENDENCIES_2)
+test_vmcircbuf_DEPENDENCIES = $(am__DEPENDENCIES_3)
DEFAULT_INCLUDES = -I. -I$(srcdir) -I$(top_builddir)
depcomp = $(SHELL) $(top_srcdir)/depcomp
am__depfiles_maybe = depfiles
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
EXTRA_DIST = \
test_buffers.py
-LIBGNURADIO = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
+LIBGNURADIO = $(GNURADIO_CORE_LA)
LIBGNURADIOQA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core-qa.la $(LIBGNURADIO)
benchmark_dotprod_SOURCES = benchmark_dotprod.cc
benchmark_dotprod_LDADD = $(LIBGNURADIO)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
./test_tcm_combined.py fsm_files/awgn1o2_4.fsm 6.0 1000
./test_tcm_parallel.py fsm_files/awgn1o2_4.fsm 6.0 1000
-./test_sccc_hard.py fsm_files/awgn1o2_4.fsm fsm_files/awgn1o2_4_msb.fsm 10.0 100
-./test_sccc_soft.py fsm_files/awgn1o2_4.fsm fsm_files/awgn1o2_4_msb.fsm 8.0 100
-./test_sccc_turbo.py fsm_files/awgn1o2_4.fsm fsm_files/awgn1o2_4_msb.fsm 5.0 100
+./test_sccc_hard.py fsm_files/awgn1o2_4.fsm fsm_files/awgn2o3_4_msb.fsm 10.0 100
+./test_sccc_soft.py fsm_files/awgn1o2_4.fsm fsm_files/awgn2o3_4_msb.fsm 8.0 100
+./test_sccc_turbo.py fsm_files/awgn1o2_4.fsm fsm_files/awgn2o3_4_msb.fsm 5.0 100
./test_viterbi_equalization.py 12.0 100
./test_viterbi_equalization1.py 12.0 100
You can select the modulation to use with the -m <modulation> command
line argument. The legal values for <modulation> are gmsk, dbpsk and dqpsk.
-* benchmark_tx.py: the receiver half of benchmark_tx.py.
+* benchmark_rx.py: the receiver half of benchmark_tx.py.
Command line arguments are pretty much the same as rx. Works well
with a USRP and RFX transceiver daughterboards. Will also work
with TVRX daugherboard, but you'll need to fiddle with the gain. See
am_rcv.py \
ayfabtu.py \
benchmark_usb.py \
- dsb_tx.py \
flexrf_debug.py \
flexrf_siggen.py \
fm_tx_2_daughterboards.py \
am_rcv.py \
ayfabtu.py \
benchmark_usb.py \
- dsb_tx.py \
flexrf_debug.py \
flexrf_siggen.py \
fm_tx_2_daughterboards.py \
+++ /dev/null
-#!/usr/bin/env python
-
-from gnuradio import gr, eng_notation
-from gnuradio import usrp
-from gnuradio import audio
-from gnuradio.eng_option import eng_option
-from optparse import OptionParser
-
-
-def build_graph (cordic_freq):
-
- audio_rate = 32000
- sw_interp = 4
- usrp_interp = 1000
- audio_file = "/home/eb/demo/testing-audio.dat"
-
- fg = gr.flow_graph ()
-
- src = gr.file_source (gr.sizeof_float, audio_file, True)
- gain = gr.multiply_const_ff (10000)
-
- interp = gr.interp_fir_filter_fff (sw_interp, (1, 1, 1, 1))
-
- f2c = gr.float_to_complex ()
-
- u = usrp.sink_c (0, usrp_interp)
- u.set_tx_freq (0, cordic_freq)
-
- fg.connect (src, gain)
- fg.connect (gain, interp)
- fg.connect (interp, (f2c, 0))
- fg.connect (interp, (f2c, 1))
- fg.connect (f2c, u)
-
- return fg
-
-def main ():
- parser = OptionParser (option_class=eng_option)
- parser.add_option ("-c", "--cordic-freq", type="eng_float", default=10e6,
- help="set Tx cordic frequency to FREQ", metavar="FREQ")
- (options, args) = parser.parse_args ()
-
- print "cordic_freq = %s" % (eng_notation.num_to_str (options.cordic_freq))
- fg = build_graph (options.cordic_freq)
-
- fg.start ()
- raw_input ('Press Enter to quit: ')
- fg.stop ()
-
-if __name__ == '__main__':
- main ()
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_alsa_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(ALSA_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_audio_alsa_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
am__audio_alsa_la_OBJECTS = audio_alsa.lo audio_alsa_sink.lo \
audio_alsa_source.lo gri_alsa.lo
_audio_alsa_la_OBJECTS = $(am__audio_alsa_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_alsa_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(ALSA_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_jack_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(JACK_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_audio_jack_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
am__audio_jack_la_OBJECTS = audio_jack.lo audio_jack_sink.lo \
audio_jack_source.lo gri_jack.lo
_audio_jack_la_OBJECTS = $(am__audio_jack_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_jack_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(JACK_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_oss_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(OSS_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_audio_oss_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
am__audio_oss_la_OBJECTS = audio_oss.lo audio_oss_sink.lo \
audio_oss_source.lo
_audio_oss_la_OBJECTS = $(am__audio_oss_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_oss_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(OSS_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_osx_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
_audio_osx_la_LDFLAGS = $(NO_UNDEFINED) -module -avoid-version -framework AudioUnit -framework CoreAudio -framework AudioToolbox
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_audio_osx_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2)
am__audio_osx_la_OBJECTS = audio_osx.lo audio_osx_sink.lo \
audio_osx_source.lo
_audio_osx_la_OBJECTS = $(am__audio_osx_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_osx_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
_audio_osx_la_LDFLAGS = $(NO_UNDEFINED) -module -avoid-version -framework AudioUnit -framework CoreAudio -framework AudioToolbox
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
+The instructions below are now obsolete. Please see:
+
+http://gnuradio.org/trac/wiki/PortAudioInstall
+
+======
+OBSOLETED
+
These instructions are intended for Linux. On other systems you will have to
construct something compatible to build gr-audio-portaudio.
ourpython_PYTHON = \
audio_portaudio.py
-INCLUDES = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS)
+INCLUDES = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(PORTAUDIO_CFLAGS)
SWIGPYTHONARGS = $(SWIGPYTHONFLAGS) $(STD_DEFINES_AND_INCLUDES) -I/usr/include
_audio_portaudio_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(PORTAUDIO_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_audio_portaudio_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
am__audio_portaudio_la_OBJECTS = audio_portaudio.lo \
audio_portaudio_sink.lo audio_portaudio_source.lo \
gri_portaudio.lo
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
ourpython_PYTHON = \
audio_portaudio.py
-INCLUDES = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS)
+INCLUDES = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(PORTAUDIO_CFLAGS)
SWIGPYTHONARGS = $(SWIGPYTHONFLAGS) $(STD_DEFINES_AND_INCLUDES) -I/usr/include
ourlib_LTLIBRARIES = _audio_portaudio.la
_audio_portaudio_la_SOURCES = \
_audio_portaudio_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(PORTAUDIO_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_windows_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(WINAUDIO_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_audio_windows_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
am__audio_windows_la_OBJECTS = audio_windows.lo audio_windows_sink.lo \
audio_windows_source.lo
_audio_windows_la_OBJECTS = $(am__audio_windows_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_audio_windows_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(WINAUDIO_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_gsm_full_rate_la_LIBADD = \
gsm/libgsm.la \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
gsm_full_rate.cc gsm_full_rate.py: gsm_full_rate.i $(ALL_IFILES)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_gsm_full_rate_la_DEPENDENCIES = gsm/libgsm.la $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2)
am__gsm_full_rate_la_OBJECTS = gsm_fr_decode_ps.lo gsm_fr_encode_sp.lo \
gsm_full_rate.lo
_gsm_full_rate_la_OBJECTS = $(am__gsm_full_rate_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_gsm_full_rate_la_LIBADD = \
gsm/libgsm.la \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# link the library against some comon swig runtime code and the
# c++ standard library
-_ra_la_LIBADD = \
- $(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+_ra_la_LIBADD = \
+ $(PYTHON_LDFLAGS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
ra.cc ra.py: $(ALL_IFILES)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
-_ra_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
+_ra_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_2)
am__ra_la_OBJECTS = ra.lo
_ra_la_OBJECTS = $(am__ra_la_OBJECTS)
DEFAULT_INCLUDES = -I. -I$(srcdir) -I$(top_builddir)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# link the library against some comon swig runtime code and the
# c++ standard library
_ra_la_LIBADD = \
- $(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(PYTHON_LDFLAGS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# ----------------------------------------------------------------
-%.html : %.xml
- xmlto html-nochunks $<
+gr-trellis.html : gr-trellis.xml
+ xmlto html-nochunks $(top_srcdir)/gr-trellis/doc/gr-trellis.xml
%.xml : % make_numbered_listing.py
./make_numbered_listing.py $<
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# ----------------------------------------------------------------
-%.html : %.xml
- xmlto html-nochunks $<
+gr-trellis.html : gr-trellis.xml
+ xmlto html-nochunks $(top_srcdir)/gr-trellis/doc/gr-trellis.xml
%.xml : % make_numbered_listing.py
./make_numbered_listing.py $<
# c++ standard library
_trellis_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
trellis.cc trellis.py: $(ALL_IFILES) $(grinclude_HEADERS)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
-_trellis_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
+_trellis_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_2)
am__objects_1 = trellis_encoder_bb.lo trellis_encoder_bi.lo \
trellis_encoder_bs.lo trellis_encoder_ii.lo \
trellis_encoder_si.lo trellis_encoder_ss.lo \
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# c++ standard library
_trellis_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_usrp1_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
- $(USRP_LIBS) \
+ $(GNURADIO_CORE_LA) \
+ $(USRP_LA) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
-_usrp1_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1)
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
+am__DEPENDENCIES_3 = $(top_builddir)/usrp/host/lib/libusrp.la
+_usrp1_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_2) \
+ $(am__DEPENDENCIES_3)
am__usrp1_la_OBJECTS = usrp1.lo usrp1_sink_base.lo usrp1_sink_c.lo \
usrp1_sink_s.lo usrp1_source_base.lo usrp1_source_c.lo \
usrp1_source_s.lo
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_usrp1_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
- $(USRP_LIBS) \
+ $(GNURADIO_CORE_LA) \
+ $(USRP_LA) \
-lstdc++
_usrp1_la_LDFLAGS = $(NO_UNDEFINED) -module -avoid-version
# 2nd parameter is absolute path to component build directory
# 3rd parameter is path to Python QA directory
+# For OS/X
+DYLD_LIBRARY_PATH=@abs_top_builddir@/usrp/host/lib/:@abs_top_builddir@/usrp/host/lib/.libs:$DYLD_LIBRARY_PATH
+export DYLD_LIBRARY_PATH
+
# For Win32
PATH=@abs_top_builddir@/usrp/host/lib/.libs:$PATH
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_video_sdl_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(SDL_LIBS) \
-lstdc++
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
ourlibLTLIBRARIES_INSTALL = $(INSTALL)
LTLIBRARIES = $(ourlib_LTLIBRARIES)
am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = \
+ $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
_video_sdl_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
- $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+ $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
am__video_sdl_la_OBJECTS = video_sdl.lo video_sdl_sink_uc.lo \
video_sdl_sink_s.lo
_video_sdl_la_OBJECTS = $(am__video_sdl_la_OBJECTS)
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
_video_sdl_la_LIBADD = \
$(PYTHON_LDFLAGS) \
- $(GNURADIO_CORE_LIBS) \
+ $(GNURADIO_CORE_LA) \
$(SDL_LIBS) \
-lstdc++
#include <video_sdl_sink_s.h>
#include <gr_io_signature.h>
-#include <sys/ioctl.h>
#include <sys/types.h>
#include <sys/stat.h>
#include <fcntl.h>
#include <video_sdl_sink_uc.h>
#include <gr_io_signature.h>
-#include <sys/ioctl.h>
#include <sys/types.h>
#include <sys/stat.h>
#include <fcntl.h>
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
This is Linux and wxPython specific.
"""
-import select
+
import os
-import fcntl
+import sys
import struct
import exceptions
import threading
-import sys
import wx
from gnuradio import gru
+imported_ok = True
+
+try:
+ import select
+ import fcntl
+except ImportError:
+ imported_ok = False
+
+
# First a little bit of background:
#
# The Griffin PowerMate has
def __init__(self, event_receiver=None, filename=None, **kwargs):
self.event_receiver = event_receiver
self.handle = -1
+ if not imported_ok:
+ raise exceptions.RuntimeError, 'powermate not supported on this platform'
+
if filename:
if not self._open_device(filename):
raise exceptions.RuntimeError, 'Unable to find powermate'
grpydir=@abs_top_srcdir@/gnuradio-core/src/python
# Where to find core's master library files
-grlibdir=@abs_top_srcdir@/gnuradio-core/src/lib
+grlibdir=@abs_top_builddir@/gnuradio-core/src/lib
grlibdir=$grlibdir:$grlibdir/.libs
# Construct search path for python modules
export PYTHONPATH
# For OS/X
-DYLD_LIBRARY_PATH=$grlibdir
+DYLD_LIBRARY_PATH=$grlibdir:$DYLD_LIBRARY_PATH
export DYLD_LIBRARY_PATH
# For Win32
ok=yes
for file in $3/qa_*.py
do
- if ! $file
+ if ! @PYTHON@ $file
then
ok=no
fi
docbook-html: usrp_guide.html
usrp_guide.html: usrp_guide.xml
- xmlto html-nochunks $<
+ xmlto html-nochunks $(top_srcdir)/usrp/doc/usrp_guide.xml
install-data-local:
$(mkinstalldirs) $(DESTDIR)$(docdir)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
docbook-html: usrp_guide.html
usrp_guide.html: usrp_guide.xml
- xmlto html-nochunks $<
+ xmlto html-nochunks $(top_srcdir)/usrp/doc/usrp_guide.xml
install-data-local:
$(mkinstalldirs) $(DESTDIR)$(docdir)
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
$(CODE_GENERATOR)
fpga_regs_common.v: fpga_regs_common.h generate_regs.py
- PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(srcdir)/generate_regs.py fpga_regs_common.h $@
+ PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(PYTHON) $(srcdir)/generate_regs.py fpga_regs_common.h $@
fpga_regs_standard.v: fpga_regs_standard.h generate_regs.py
- PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(srcdir)/generate_regs.py fpga_regs_standard.h $@
+ PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(PYTHON) $(srcdir)/generate_regs.py fpga_regs_standard.h $@
fpga_regs_common.v: fpga_regs_common.h generate_regs.py
- PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(srcdir)/generate_regs.py fpga_regs_common.h $@
+ PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(PYTHON) $(srcdir)/generate_regs.py fpga_regs_common.h $@
fpga_regs_standard.v: fpga_regs_standard.h generate_regs.py
- PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(srcdir)/generate_regs.py fpga_regs_standard.h $@
+ PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(PYTHON) $(srcdir)/generate_regs.py fpga_regs_standard.h $@
# Tell versions [3.59,3.63) of GNU make to not export all variables.
# Otherwise a system limit (for SysV at least) may be exceeded.
.NOEXPORT:
all: usrp_gpif.c
usrp_gpif.c usrp_gpif_inline.h : gpif.c
- srcdir=$(srcdir) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
+ srcdir=$(srcdir) $(PYTHON) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
CLEANFILES = \
*.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib \
all: usrp_gpif.c
usrp_gpif.c usrp_gpif_inline.h : gpif.c
- srcdir=$(srcdir) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
+ srcdir=$(srcdir) $(PYTHON) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
# Tell versions [3.59,3.63) of GNU make to not export all variables.
# Otherwise a system limit (for SysV at least) may be exceeded.
.NOEXPORT:
-c -o $@ `test -f '$<' || echo '$(srcdir)/'`$<
.a51.rel:
- test -f `basename '$<'` || ln -s '$<' .
+ test -f `basename '$<'` || $(LN_S) '$<' .
test -f ../common/`basename '$<'` -o \
\! -f `dirname '$<'`/../common/`basename '$<'` \
- || ln -s `dirname '$<'`/../common/`basename '$<'` ../common/.
+ || $(LN_S) `dirname '$<'`/../common/`basename '$<'` ../common/`basename '$<'`
$(XAS) `basename '$<'`
$(XCC) $(LINKOPTS) -o $@ $(EEPROM_BOOT_OBJS)
burn-usrp2-eeprom: eeprom_boot.ihx
- $(srcdir)/../common/build_eeprom.py -r2 eeprom_boot.ihx > $@
+ $(PYTHON) $(srcdir)/../common/build_eeprom.py -r2 eeprom_boot.ihx > $@
chmod +x $@
burn-usrp4-eeprom: eeprom_boot.ihx
- $(srcdir)/../common/build_eeprom.py -r4 eeprom_boot.ihx > $@
+ $(PYTHON) $(srcdir)/../common/build_eeprom.py -r4 eeprom_boot.ihx > $@
chmod +x $@
all: usrp_gpif.c
usrp_gpif.c usrp_gpif_inline.h : gpif.c
- srcdir=$(srcdir) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
+ srcdir=$(srcdir) $(PYTHON) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
# dependencies
-c -o $@ `test -f '$<' || echo '$(srcdir)/'`$<
.a51.rel:
- test -f `basename '$<'` || ln -s '$<' .
+ test -f `basename '$<'` || $(LN_S) '$<' .
test -f ../common/`basename '$<'` -o \
\! -f `dirname '$<'`/../common/`basename '$<'` \
- || ln -s `dirname '$<'`/../common/`basename '$<'` ../common/.
+ || $(LN_S) `dirname '$<'`/../common/`basename '$<'` ../common/`basename '$<'`
$(XAS) `basename '$<'`
eeprom_boot.ihx: $(EEPROM_BOOT_OBJS) $(LIBDEP)
$(XCC) $(LINKOPTS) -o $@ $(EEPROM_BOOT_OBJS)
burn-usrp2-eeprom: eeprom_boot.ihx
- $(srcdir)/../common/build_eeprom.py -r2 eeprom_boot.ihx > $@
+ $(PYTHON) $(srcdir)/../common/build_eeprom.py -r2 eeprom_boot.ihx > $@
chmod +x $@
burn-usrp4-eeprom: eeprom_boot.ihx
- $(srcdir)/../common/build_eeprom.py -r4 eeprom_boot.ihx > $@
+ $(PYTHON) $(srcdir)/../common/build_eeprom.py -r4 eeprom_boot.ihx > $@
chmod +x $@
blink_leds.ihx: $(BLINK_LEDS_OBJS) $(LIBDEP)
all: usrp_gpif.c
usrp_gpif.c usrp_gpif_inline.h : gpif.c
- srcdir=$(srcdir) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
+ srcdir=$(srcdir) $(PYTHON) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
# dependencies
models/ssram.v \
sdr_lib/adc_interface.v \
sdr_lib/bidir_reg.v \
- sdr_lib/bus_interface.v \
sdr_lib/cic_decim.v \
sdr_lib/cic_int_shifter.v \
sdr_lib/cic_interp.v \
models/ssram.v \
sdr_lib/adc_interface.v \
sdr_lib/bidir_reg.v \
- sdr_lib/bus_interface.v \
sdr_lib/cic_decim.v \
sdr_lib/cic_int_shifter.v \
sdr_lib/cic_interp.v \
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
+++ /dev/null
-// -*- verilog -*-
-//
-// USRP - Universal Software Radio Peripheral
-//
-// Copyright (C) 2003 Matt Ettus
-//
-// This program is free software; you can redistribute it and/or modify
-// it under the terms of the GNU General Public License as published by
-// the Free Software Foundation; either version 2 of the License, or
-// (at your option) any later version.
-//
-// This program is distributed in the hope that it will be useful,
-// but WITHOUT ANY WARRANTY; without even the implied warranty of
-// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-// GNU General Public License for more details.
-//
-// You should have received a copy of the GNU General Public License
-// along with this program; if not, write to the Free Software
-// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
-//
-
-// Interface to Cypress FX2 bus
-// A packet is 512 Bytes. Each fifo line is 4 bytes
-// Fifo has 1024 or 2048 lines
-
-module bus_interface
- ( input usbclk,
- input reset,
- inout [15:0] usbdata, // TRISTATE
- input wire [5:0] usbctl,
- output wire [5:0] usbrdy,
- output [31:0] txdata,
- input [31:0] rxdata,
- input txclk,
- input txstrobe,
- input rxclk,
- input rxstrobe,
- output [11:0] debugbus,
- input clear_status
- );
-
- parameter IN_CHANNELS = 1;
- parameter OUT_CHANNELS = 1;
- parameter bitmask = (IN_CHANNELS*2)-1;
-
- wire have_space, have_pkt_rdy;
- wire WR, RD, OE;
- reg tx_underrun, rx_overrun;
-
- assign WR = usbctl[0];
- assign RD = usbctl[1];
- assign OE = usbctl[2];
-
- assign usbrdy[0] = have_space;
- assign usbrdy[1] = have_pkt_rdy;
- assign usbrdy[2] = tx_underrun;
- assign usbrdy[3] = rx_overrun;
-
- reg [IN_CHANNELS*2*16-1:0] fifo_in;
- wire [OUT_CHANNELS*2*16-1:0] fifo_out;
-
- wire [15:0] usbdata_in = usbdata;
-
- reg select_out;
- reg select_in;
-
- reg commit;
- reg rd_next;
- reg [15:0] usbdata_out;
- wire [10:0] txfifolevel,rxfifolevel;
- reg [8:0] write_count;
- wire tx_empty;
- wire tx_full;
- wire rx_empty;
- wire rx_full;
- wire [31:0] txd;
- wire rdreq;
-
- // Tri-state bus macro
- bustri bustri(.data(usbdata_out),
- .enabledt(OE),
- .tridata(usbdata) );
-
- //////////////////////////////////////////////
- // TX Side (USB --> DAC)
- always @(posedge usbclk, posedge reset)
- begin
- if(reset)
- begin
- fifo_in <= #1 0;
- write_count <= #1 0;
- end
- else
- if(WR & ~write_count[8])
- begin
- case(write_count[0])
- 1'b0 : fifo_in[31:16] <= #1 usbdata_in; // I
- 1'b1 : fifo_in[15:0] <= #1 usbdata_in; // Q
- endcase
- write_count <= #1 write_count + 9'd1;
- end
- else
- write_count <= #1 WR ? write_count : 9'b0;
- end
-
- always @(posedge usbclk)
- if(reset)
- commit <= #1 1'b0;
- else
- if(write_count[0] && ~write_count[8] && WR)
- commit <= #1 1'b1;
- else
- commit <= #1 1'b0;
-
- assign rdreq = txstrobe & !tx_empty;
- assign txdata = tx_empty ? 32'b0 : txd;
-
- always @(posedge txclk)
- if(reset)
- tx_underrun <= 1'b0;
- else if(txstrobe & tx_empty)
- tx_underrun <= 1'b1;
- else if(clear_status)
- tx_underrun <= 1'b0;
-
- fifo_1c_2k txfifo (.data ( fifo_in ),
- .wrreq ( commit ),
- .wrclk ( usbclk ),
-
- .q ( txd ),
- .rdreq ( rdreq),
- .rdclk ( txclk ),
-
- .aclr ( reset ),
-
- .rdempty ( tx_empty ),
- .rdusedw ( ),
- .wrfull ( tx_full ),
- .wrusedw ( txfifolevel )
- );
-
- assign have_space = (txfifolevel <= (2048-128));
-
- //////////////////////////////
- // Receive FIFO (ADC --> USB)
-
- always @(posedge rxclk)
- if(reset)
- rx_overrun <= 1'b0;
- else if(rxstrobe & rx_full)
- rx_overrun <= 1'b1;
- else if(clear_status)
- rx_overrun <= 1'b0;
-
- always @(select_out, fifo_out)
- case(select_out)
- 0 : usbdata_out = fifo_out[31:16]; // I
- 1 : usbdata_out = fifo_out[15:0]; // Q
- endcase
-
-/*
- always @(posedge usbclk, posedge reset)
- if(reset)
- usbdata_out <= #1 16'b0;
- else
- if(select_out)
- usbdata_out = fifo_out[31:16];
- else
- usbdata_out = fifo_out[15:0];
- */
-
- always @(negedge usbclk, posedge reset)
- if(reset)
- select_out <= #1 1'b0;
- else if(~RD)
- select_out <= #1 1'b0;
- else
- select_out <= #1 ~select_out;
-
- fifo_1c_2k rxfifo (.data ( rxdata ), // counter ),
- .wrreq (rxstrobe & ~rx_full ),
- .wrclk ( rxclk ),
-
- .q ( fifo_out ),
- .rdreq ( select_out ),// & RD ), // FIXME
- .rdclk ( usbclk ),
-
- .aclr ( reset ),
-
- .rdempty ( rx_empty ),
- .rdusedw ( rxfifolevel ),
- .wrfull ( rx_full ),
- .wrusedw ( )
- );
-
- assign have_pkt_rdy = (rxfifolevel >= 128);
-
- // Debugging Aids
- assign debugbus[0] = tx_underrun;
- assign debugbus[1] = rx_overrun;
- assign debugbus[2] = tx_empty;
- assign debugbus[3] = tx_full;
- assign debugbus[4] = rx_empty;
- assign debugbus[5] = rx_full;
- assign debugbus[6] = txstrobe;
- assign debugbus[7] = rxstrobe;
- assign debugbus[8] = select_out;
- assign debugbus[9] = rxstrobe & ~rx_full;
- assign debugbus[10] = have_space;
- assign debugbus[11] = have_pkt_rdy;
-
-endmodule // bus_interface
-
load_next <= #1 4'd0;
end
else
- if((load_next != channels) & !tx_empty)
+ if(load_next != channels)
begin
load_next <= #1 load_next + 4'd1;
case(load_next)
- 4'd0 : tx_i_0 <= #1 fifodata;
- 4'd1 : tx_q_0 <= #1 fifodata;
- 4'd2 : tx_i_1 <= #1 fifodata;
- 4'd3 : tx_q_1 <= #1 fifodata;
- 4'd4 : tx_i_2 <= #1 fifodata;
- 4'd5 : tx_q_2 <= #1 fifodata;
- 4'd6 : tx_i_3 <= #1 fifodata;
- 4'd7 : tx_q_3 <= #1 fifodata;
+ 4'd0 : tx_i_0 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd1 : tx_q_0 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd2 : tx_i_1 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd3 : tx_q_1 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd4 : tx_i_2 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd5 : tx_q_2 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd6 : tx_i_3 <= #1 tx_empty ? 16'd0 : fifodata;
+ 4'd7 : tx_q_3 <= #1 tx_empty ? 16'd0 : fifodata;
endcase // case(load_next)
- end // if ((load_next != channels) & !tx_empty)
+ end // if (load_next != channels)
else if(txstrobe & (load_next == channels))
begin
load_next <= #1 4'd0;
# ========================
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04 JULY 13, 2003"
-set_global_assignment -name LAST_QUARTUS_VERSION "5.1 SP2"
+set_global_assignment -name LAST_QUARTUS_VERSION 6.1
# Pin & Location Assignments
# ==========================
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
else if (strcmp (cmd, "i2c_write") == 0){
CHKARGS (2);
int i2c_addr = strtol (argv[optind], 0, 0);
- int len;
+ int len = 0;
char *hex_string = argv[optind + 1];
unsigned char *buf = hex_string_to_binary (hex_string, &len);
if (buf == 0)
dump_data.py
usrp_dbid.py usrp_dbid.h usrp_dbid.cc: gen_usrp_dbid.py usrp_dbid.dat
- PYTHONPATH=$(top_srcdir)/usrp/src srcdir=$(srcdir) $(srcdir)/gen_usrp_dbid.py $(srcdir)/usrp_dbid.dat
+ PYTHONPATH=$(top_srcdir)/usrp/src srcdir=$(srcdir) $(PYTHON) $(srcdir)/gen_usrp_dbid.py $(srcdir)/usrp_dbid.dat
MOSTLYCLEANFILES = \
$(BUILT_SOURCES) *~ *.pyc
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.
usrp_dbid.py usrp_dbid.h usrp_dbid.cc: gen_usrp_dbid.py usrp_dbid.dat
- PYTHONPATH=$(top_srcdir)/usrp/src srcdir=$(srcdir) $(srcdir)/gen_usrp_dbid.py $(srcdir)/usrp_dbid.dat
+ PYTHONPATH=$(top_srcdir)/usrp/src srcdir=$(srcdir) $(PYTHON) $(srcdir)/gen_usrp_dbid.py $(srcdir)/usrp_dbid.dat
# Tell versions [3.59,3.63) of GNU make to not export all variables.
# Otherwise a system limit (for SysV at least) may be exceeded.
.NOEXPORT:
if (d_output_short == 0)
usb_submit_async(d_context[d_curr],
&d_buffer[d_curr*d_block_size], d_block_size);
-
- if (bytes_to_write == 0)
- return nbytes;
-
- assert(d_output_short == 0);
}
- d_curr = (d_curr+1)%d_nblocks;
- buf = &d_buffer[d_curr*d_block_size];
-
- if (d_outstanding_write != d_nblocks) {
- d_outstanding_write++;
- } else {
- retval = usb_reap_async(d_context[d_curr], USB_TIMEOUT);
- if (retval < 0) {
- fprintf(stderr, "%s: usb_reap_async: %s\n",
- __FUNCTION__, usb_strerror());
- return retval;
- }
- }
+ while (bytes_to_write > 0) {
+ d_curr = (d_curr+1)%d_nblocks;
+ buf = &d_buffer[d_curr*d_block_size];
+
+ if (d_outstanding_write != d_nblocks) {
+ d_outstanding_write++;
+ } else {
+ retval = usb_reap_async(d_context[d_curr], USB_TIMEOUT);
+ if (retval < 0) {
+ fprintf(stderr, "%s: usb_reap_async: %s\n",
+ __FUNCTION__, usb_strerror());
+ return retval;
+ }
+ }
- memcpy(buf, (void *) &(((char*)buffer)[a]), bytes_to_write);
+ int ncopy = std::min(bytes_to_write, d_block_size);
+ memcpy(buf, (void *) &(((char*)buffer)[a]), ncopy);
+ bytes_to_write -= ncopy;
+ a += ncopy;
- d_output_short = d_block_size - bytes_to_write;
- if (d_output_short == 0)
- usb_submit_async(d_context[d_curr], buf, d_block_size);
+ d_output_short = d_block_size - ncopy;
+ if (d_output_short == 0)
+ usb_submit_async(d_context[d_curr], buf, d_block_size);
+ }
return retval < 0 ? retval : nbytes;
}
if (d_input_leftover == 0)
usb_submit_async(d_context[d_curr],
&d_buffer[d_curr*d_block_size], d_block_size);
-
- if (bytes_to_read == 0)
- return nbytes;
-
- assert(d_input_leftover == 0);
}
+ while (bytes_to_read > 0) {
- d_curr = (d_curr+1)%d_nblocks;
- buf = &d_buffer[d_curr*d_block_size];
+ d_curr = (d_curr+1)%d_nblocks;
+ buf = &d_buffer[d_curr*d_block_size];
- retval = usb_reap_async(d_context[d_curr], USB_TIMEOUT);
- if (retval < 0)
- fprintf(stderr, "%s: usb_reap_async: %s\n",
- __FUNCTION__, usb_strerror());
+ retval = usb_reap_async(d_context[d_curr], USB_TIMEOUT);
+ if (retval < 0)
+ fprintf(stderr, "%s: usb_reap_async: %s\n",
+ __FUNCTION__, usb_strerror());
- memcpy((void *) &(((char*)buffer)[a]), buf, bytes_to_read);
+ int ncopy = std::min(bytes_to_read, d_block_size);
+ memcpy((void *) &(((char*)buffer)[a]), buf, ncopy);
+ bytes_to_read -= ncopy;
+ a += ncopy;
- d_input_leftover = d_block_size - bytes_to_read;
- if (d_input_leftover == 0)
- usb_submit_async(d_context[d_curr], buf, d_block_size);
+ d_input_leftover = d_block_size - ncopy;
+ if (d_input_leftover == 0)
+ usb_submit_async(d_context[d_curr], buf, d_block_size);
+ }
return retval < 0 ? retval : nbytes;
}
# -*- Makefile -*-
#
-# Copyright 2004,2006 Free Software Foundation, Inc.
+# Copyright 2004,2006,2007 Free Software Foundation, Inc.
#
# This file is part of GNU Radio
#
$(FFTW3F_CFLAGS)
-# These used to be set in PKGCONFIG but now point to the current
-# build tree.
-GNURADIO_CORE_LIBS = -L$(top_builddir)/gnuradio-core/src/lib \
- -lgnuradio-core -lfftw3f -lm
-
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core.la
# This is a dependency for many swig operations
GNURADIO_I = $(top_srcdir)/gnuradio-core/src/lib/swig/gnuradio.i
-# The below used to be set in PKGCONFIG but now point to the current
-# build tree.
+# How to link in the USRP library from inside the tree
USRP_INCLUDES = -I$(top_srcdir)/usrp/host/lib \
-I$(top_srcdir)/usrp/firmware/include
-USRP_LIBS = -L$(top_builddir)/usrp/host/lib \
- -lusrp
-
-PMT_INCLUDES = -I$(top_srcdir)/pmt/src/lib
-PMT_LIBS = -L$(top_builddir)/pmt/src/lib -lpmt
+USRP_LA = $(top_builddir)/usrp/host/lib/libusrp.la
# This used to be set in configure.ac but is now defined here for all
# Makefiles when this fragment is included.