]> git.gag.com Git - debian/gnuradio/commit
Merged r6329:6428 of features/inband-usb + distcheck fixes into trunk.
authoreb <eb@221aa14e-8319-0410-a670-987f0aec2ac5>
Thu, 13 Sep 2007 23:21:41 +0000 (23:21 +0000)
committereb <eb@221aa14e-8319-0410-a670-987f0aec2ac5>
Thu, 13 Sep 2007 23:21:41 +0000 (23:21 +0000)
commit4e7d048aeb80f95b19cebed9d76b79e6cbe64a9a
tree71eb3edd8fe57d5701ccf3aa7bf624a76e8d1ec9
parent6006b92a287fa5a23bcb7905f6f854d9c9dd4462
Merged r6329:6428 of features/inband-usb + distcheck fixes into trunk.

git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@6429 221aa14e-8319-0410-a670-987f0aec2ac5
58 files changed:
usrp/fpga/inband_lib/chan_fifo_reader.v
usrp/fpga/inband_lib/channel_ram.v
usrp/fpga/inband_lib/packet_builder.v
usrp/fpga/inband_lib/register_io.v
usrp/fpga/inband_lib/rx_buffer_inband.v
usrp/fpga/inband_lib/tx_buffer_inband.v
usrp/fpga/megacells/fifo_1kx16.bsf [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16.cmp [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16.inc [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16_bb.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16_inst.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_2k_1clk.v [deleted file]
usrp/fpga/megacells/fifo_2kx16.bsf [deleted file]
usrp/fpga/megacells/fifo_2kx16.cmp [deleted file]
usrp/fpga/megacells/fifo_2kx16.inc [deleted file]
usrp/fpga/megacells/fifo_2kx16.v [deleted file]
usrp/fpga/megacells/fifo_2kx16_bb.v [deleted file]
usrp/fpga/megacells/fifo_2kx16_inst.v [deleted file]
usrp/fpga/megacells/fifo_4kx16.bsf [deleted file]
usrp/fpga/megacells/fifo_4kx16.cmp [deleted file]
usrp/fpga/megacells/fifo_4kx16.inc [deleted file]
usrp/fpga/megacells/fifo_4kx16.v [deleted file]
usrp/fpga/megacells/fifo_4kx16_bb.v [deleted file]
usrp/fpga/megacells/fifo_4kx16_dc.bsf [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc.cmp [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc.inc [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc_bb.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc_inst.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_inst.v [deleted file]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
usrp/host/apps-inband/Makefile.am
usrp/host/apps-inband/gmac.cc [new file with mode: 0644]
usrp/host/apps-inband/gmac.h [new file with mode: 0644]
usrp/host/apps-inband/gmac.mbh [new file with mode: 0644]
usrp/host/apps-inband/gmac_symbols.h [new file with mode: 0644]
usrp/host/apps-inband/test_gmac_tx.cc [new file with mode: 0644]
usrp/host/apps-inband/test_usrp_inband_cs.cc
usrp/host/apps-inband/test_usrp_inband_overrun.cc [new file with mode: 0644]
usrp/host/apps-inband/test_usrp_inband_ping.cc
usrp/host/apps-inband/test_usrp_inband_registers.cc
usrp/host/apps-inband/test_usrp_inband_rx.cc
usrp/host/apps-inband/test_usrp_inband_timestamps.cc
usrp/host/apps-inband/test_usrp_inband_tx.cc
usrp/host/apps-inband/test_usrp_inband_underrun.cc
usrp/host/apps-inband/time_stuff.c [deleted file]
usrp/host/apps-inband/time_stuff.h [deleted file]
usrp/host/apps-inband/ui_sincos.c
usrp/host/lib/inband/usrp_inband_usb_packet.h
usrp/host/lib/inband/usrp_rx.cc
usrp/host/lib/inband/usrp_rx_stub.cc
usrp/host/lib/inband/usrp_rx_stub.h
usrp/host/lib/inband/usrp_server.cc
usrp/host/lib/inband/usrp_server.mbh
usrp/host/lib/inband/usrp_usb_interface.cc
usrp/host/lib/inband/usrp_usb_interface.h