remove unused opencores
authorMatt Ettus <matt@ettus.com>
Thu, 1 Oct 2009 07:06:11 +0000 (00:06 -0700)
committerMatt Ettus <matt@ettus.com>
Thu, 1 Oct 2009 07:06:11 +0000 (00:06 -0700)
commit413d26237e93b8b019c719ed186e228a8eeb41b8
tree4deca63bee6630d69452ecd09508933a7835a419
parent147de5cd3e57a07914673a31fb73a35ebf18b3a2
remove unused opencores
463 files changed:
usrp2/fpga/opencores/ethernet_tri_mode/.gitignore [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/Phy_sim.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/User_int_sim.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/altera_mf.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/host_sim.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/reg_int_sim.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/bench/verilog/tb_top.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/cmdfile [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/doc/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/doc/Tri-mode_Ethernet_MAC_Specifications.pdf [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/doc/Tri-mode_Ethernet_MAC_Verification_plan.pdf [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Clk_ctrl.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/Broadcast_filter.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CRC_chk.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_FF.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_add_chk.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_rx/MAC_rx_ctrl.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_top.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CRC_gen.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_FF.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_addr_add.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/MAC_tx_ctrl.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/flow_ctrl.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/MAC_tx/random_gen.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/Phy_int.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_addr_gen.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_ctrl.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/RMON/RMON_dpram.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CLK_SWITCH.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_DIV2.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CLK_SWITCH.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/altera/duram.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/clkdiv2.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/duram.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CLK_DIV2.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CLK_SWITCH.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/TECH/xilinx/duram.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/cmdfile [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/eth_miim.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/eth_wrapper.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/header.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/mac_tb.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_clockgen.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_outputcontrol.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/eth_shiftreg.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/miim/timescale.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/rtl/verilog/reg_int.v [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/cds.lib [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/com.nc [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/config.ini [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/hdl.var [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check.dll [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_check_vpi.dll [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen.dll [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/ip_32W_gen_vpi.dll [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim.nc [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/sim_only.nc [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/bin/vlog.list [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/1000Mbps_duplex.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/100Mbps_duplex.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/10Mbps_duplex.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/46-50.ini [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CPU.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/batch.dat [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/config.ini [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/flow_ctrl.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/source_mac_replace.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/data/target_mac_check.vec [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/log/ncsim.log [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/out/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/run/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/batch_mode.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/filesel.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/run_proc.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_reg_data.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/set_stimulus.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/start_verify.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/sim/rtl_sim/ncsim_sim/script/user_lib.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/start.tcl [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Entries [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Repository [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Root [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/CVS/Template [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/syn.prj [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_altrea.prj [deleted file]
usrp2/fpga/opencores/ethernet_tri_mode/syn/syn_xilinx.prj [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/initSD.asf [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/initSD.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/timescale.v [deleted file]
usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v [deleted file]
usrp2/fpga/opencores/sd_interface/bench/testCase0.v [deleted file]
usrp2/fpga/opencores/sd_interface/bench/testHarness.v [deleted file]
usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf [deleted file]
usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf [deleted file]
usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw [deleted file]
usrp2/fpga/opencores/sd_interface/model/sdModel.v [deleted file]
usrp2/fpga/opencores/sd_interface/model/wb_master_model.v [deleted file]
usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat [deleted file]
usrp2/fpga/opencores/sd_interface/sim/compile.do [deleted file]
usrp2/fpga/opencores/sd_interface/sim/filelist.icarus [deleted file]
usrp2/fpga/opencores/sd_interface/sim/run.do [deleted file]
usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat [deleted file]
usrp2/fpga/opencores/sd_interface/sim/testHarness [deleted file]
usrp2/fpga/opencores/sd_interface/sim/wave.do [deleted file]
usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf [deleted file]
usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf [deleted file]
usrp2/fpga/opencores/uart16550/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/bench/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/bench/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/bench/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/bench/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/bench/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/readme.txt [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/test_cases/uart_int.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_device.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_device_utilities.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_log.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_test.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_defines.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_testbench_utilities.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/uart_wb_utilities.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/vapi.log [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/wb_mast.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/wb_master_model.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/verilog/wb_model_defines.v [deleted file]
usrp2/fpga/opencores/uart16550/bench/vhdl/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/bench/vhdl/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/doc/CHANGES.txt [deleted file]
usrp2/fpga/opencores/uart16550/doc/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/doc/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/doc/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/doc/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/doc/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/doc/UART_spec.pdf [deleted file]
usrp2/fpga/opencores/uart16550/doc/src/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/doc/src/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/doc/src/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/doc/src/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/doc/src/UART_spec.doc [deleted file]
usrp2/fpga/opencores/uart16550/fv/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/fv/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/fv/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/fv/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/fv/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/lint/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/lint/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/lint/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/lint/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/lint/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/lint/bin/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/lint/bin/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/lint/bin/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/lint/bin/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/lint/bin/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/lint/log/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/lint/log/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/lint/log/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/lint/log/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/lint/log/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/lint/out/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/lint/out/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/lint/out/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/lint/out/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/lint/out/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/lint/run/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/lint/run/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/lint/run/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/lint/run/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/lint/run/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/rtl/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/rtl/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/rtl/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/rtl/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/rtl/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/timescale.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_defines.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_fifo.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_receiver.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_regs.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_top.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_transmitter.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog-backup/uart_wb.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/raminfr.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/timescale.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_debug_if.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_defines.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_receiver.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_regs.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_rfifo.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_sync_flops.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_tfifo.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_top.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_transmitter.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/verilog/uart_wb.v [deleted file]
usrp2/fpga/opencores/uart16550/rtl/vhdl/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/rtl/vhdl/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/sim/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/bin/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/log/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/log/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/out/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/out/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/run/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/run/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/src/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/gate_sim/src/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/nc.scr [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/bin/sim.tcl [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_report.log [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/log/uart_interrupts_verbose.log [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/out/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_signalscan [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/run/run_sim.scr [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/sim/rtl_sim/src/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/syn/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/syn/CVS/Entries.Log [deleted file]
usrp2/fpga/opencores/uart16550/syn/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/syn/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/syn/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/syn/bin/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/syn/bin/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/syn/bin/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/syn/bin/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/syn/bin/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/syn/log/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/syn/log/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/syn/log/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/syn/log/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/syn/log/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/syn/out/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/syn/out/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/syn/out/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/syn/out/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/syn/out/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/syn/run/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/syn/run/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/syn/run/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/syn/run/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/syn/run/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/syn/src/.keepme [deleted file]
usrp2/fpga/opencores/uart16550/syn/src/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/syn/src/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/syn/src/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/syn/src/CVS/Template [deleted file]
usrp2/fpga/opencores/uart16550/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/uart16550/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/uart16550/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/uart16550/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/wb_conbus/CVS/Entries [deleted file]
usrp2/fpga/opencores/wb_conbus/CVS/Repository [deleted file]
usrp2/fpga/opencores/wb_conbus/CVS/Root [deleted file]
usrp2/fpga/opencores/wb_conbus/CVS/Template [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/CVS/Entries [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/CVS/Repository [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/CVS/Root [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/CVS/Template [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/tb_wb_conbus_top.v [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/tests.v [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_mast_model.v [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_model_defines.v [deleted file]
usrp2/fpga/opencores/wb_conbus/bench/verilog/wb_slv_model.v [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/CVS/Entries [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/CVS/Repository [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/CVS/Root [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/CVS/Template [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Entries [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Repository [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Root [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/CVS/Template [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_arb.v [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_defines.v [deleted file]
usrp2/fpga/opencores/wb_conbus/rtl/verilog/wb_conbus_top.v [deleted file]