X-Git-Url: https://git.gag.com/?a=blobdiff_plain;f=tcl%2Fboard%2Fti_tmdx570ls20susb.cfg;h=9c5ef74eaa23cb174cdbf84473dddaf0336506b8;hb=4157732bd84c4e9e4b55357e1dc9a96078a35ee1;hp=2601d21dfa056aa8675326a5cd41f38d40313d36;hpb=7ca77b090bc43b0fb374e94b2a3767f3fc2a0bed;p=fw%2Fopenocd diff --git a/tcl/board/ti_tmdx570ls20susb.cfg b/tcl/board/ti_tmdx570ls20susb.cfg index 2601d21df..9c5ef74ea 100644 --- a/tcl/board/ti_tmdx570ls20susb.cfg +++ b/tcl/board/ti_tmdx570ls20susb.cfg @@ -1,9 +1,18 @@ -adapter_khz 1500 +# SPDX-License-Identifier: GPL-2.0-or-later +# TMS570 Microcontroller USB Kit +# http://www.ti.com/tool/TMDX570LS20SUSB + +# Board uses a FT2232H to emulate an XDS100v2 JTAG debugger +# TODO: board also supports an SCI UART on the 2232's B Bus source [find interface/ftdi/xds100v2.cfg] -set DAP_TAPID 0x0b7b302f -set JRC_TAPID $DAP_TAPID -source [find target/ti_tms570.cfg] +# Processor is TMS570LS20216 +source [find target/ti_tms570ls20xxx.cfg] reset_config trst_only + +# xds100v2 config says add this to the end +init +ftdi set_signal PWR_RST 1 +jtag arp_init