X-Git-Url: https://git.gag.com/?a=blobdiff_plain;f=gr-radar-mono%2Fsrc%2Ffpga%2Flib%2Fradar.v;h=1023d2f2515912142187f2132dc37844678134cf;hb=35e43e8d8c271e6842191cac3fc3f2f88a861183;hp=2a0c08bb5c01f3ec5b7c9441febce041c42636dd;hpb=0c30f34bf0afa14e8f2ee128dd4168c7c372b862;p=debian%2Fgnuradio diff --git a/gr-radar-mono/src/fpga/lib/radar.v b/gr-radar-mono/src/fpga/lib/radar.v index 2a0c08bb..1023d2f2 100644 --- a/gr-radar-mono/src/fpga/lib/radar.v +++ b/gr-radar-mono/src/fpga/lib/radar.v @@ -22,10 +22,10 @@ `include "../lib/radar_config.vh" module radar(clk_i,saddr_i,sdata_i,s_strobe_i, - tx_strobe_o,tx_dac_i_o,tx_dac_q_o, - rx_strobe_i,rx_adc_i_i,rx_adc_q_i, - rx_strobe_o,rx_ech_i_o,rx_ech_q_o); - + tx_side_o,tx_strobe_o,tx_dac_i_o,tx_dac_q_o, + rx_adc_i_i,rx_adc_q_i, + rx_strobe_o,rx_ech_i_o,rx_ech_q_o,io_tx_ena_o); + // System interface input clk_i; // Master clock @ 64 MHz input [6:0] saddr_i; // Configuration bus address @@ -33,12 +33,13 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, input s_strobe_i; // Configuration bus write // Transmit subsystem + output tx_side_o; // Transmitter slot output tx_strobe_o; // Generate an transmitter output sample output [13:0] tx_dac_i_o; // I channel transmitter output to DAC output [13:0] tx_dac_q_o; // Q channel transmitter output to DAC - + output io_tx_ena_o; // Transmit/Receive switching + // Receive subsystem - input rx_strobe_i; // Indicates receive sample ready from ADC input [15:0] rx_adc_i_i; // I channel input from ADC input [15:0] rx_adc_q_i; // Q channel input from ADC output rx_strobe_o; // Indicates output samples ready for Rx FIFO @@ -47,10 +48,13 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, // Application control wire reset; // Master application reset + wire tx_side; // Transmitter slot + wire debug_enabled; // Enable debugging mode; wire tx_enable; // Transmitter enable wire rx_enable; // Receiver enable wire tx_ctrl; // Transmitter on control wire rx_ctrl; // Receiver on control + wire [15:0] pulse_num; // Count of pulses since tx_enabled // Configuration wire [15:0] ampl; // Pulse amplitude @@ -59,8 +63,10 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, radar_control controller (.clk_i(clk_i),.saddr_i(saddr_i),.sdata_i(sdata_i),.s_strobe_i(s_strobe_i), - .reset_o(reset),.tx_strobe_o(tx_strobe_o),.tx_ctrl_o(tx_ctrl),.rx_ctrl_o(rx_ctrl), - .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr)); + .reset_o(reset),.tx_side_o(tx_side_o),.dbg_o(debug_enabled), + .tx_strobe_o(tx_strobe_o),.tx_ctrl_o(tx_ctrl),.rx_ctrl_o(rx_ctrl), + .ampl_o(ampl),.fstart_o(fstart),.fincr_o(fincr),.pulse_num_o(pulse_num), + .io_tx_ena_o(io_tx_ena_o)); radar_tx transmitter ( .clk_i(clk_i),.rst_i(reset),.ena_i(tx_ctrl),.strobe_i(tx_strobe_o), @@ -68,8 +74,8 @@ module radar(clk_i,saddr_i,sdata_i,s_strobe_i, .tx_i_o(tx_dac_i_o),.tx_q_o(tx_dac_q_o) ); radar_rx receiver - ( .clk_i(clk_i),.rst_i(reset),.ena_i(rx_ctrl & 1'b0), // Disable receiver for now - .strobe_i(rx_strobe_i),.rx_in_i_i(rx_adc_i_i),.rx_in_q_i(rx_adc_q_i), + ( .clk_i(clk_i),.rst_i(reset),.ena_i(rx_ctrl),.dbg_i(debug_enabled), + .pulse_num_i(pulse_num),.rx_in_i_i(rx_adc_i_i),.rx_in_q_i(rx_adc_q_i), .rx_strobe_o(rx_strobe_o),.rx_i_o(rx_ech_i_o),.rx_q_o(rx_ech_q_o) ); endmodule // radar