Merged features/inband-usb -r6431:8293 into trunk.
[debian/gnuradio] / usrp / host / lib / inband / usrp_usb_interface.h
index 8efce2ff66d2ce732bcec17a04aec504f33d24f6..6c2c157680023a294bf89b7e46219ef51d543e2e 100644 (file)
@@ -42,10 +42,10 @@ class usrp_usb_interface : public mb_mblock
   long d_ntx_chan;
   long d_nrx_chan;
 
-  long d_fpga_debug;
-
   bool d_fake_usrp;
 
+  bool d_rx_reading;
+
   long d_interp_tx;
   long d_decim_rx;