remove unused include file: inttypes.h
[fw/openocd] / src / flash / flash.c
index b9e5a9dc14c3a3ba8bd453f8c2fade76d1e5ce50..3dffb1f28e0faff2a99a501e33d4bd24cad63345 100644 (file)
@@ -2,6 +2,12 @@
  *   Copyright (C) 2005 by Dominic Rath                                    *
  *   Dominic.Rath@gmx.de                                                   *
  *                                                                         *
+ *   Copyright (C) 2007,2008 Ã˜yvind Harboe                                 *
+ *   oyvind.harboe@zylin.com                                               *
+ *                                                                         *
+ *   Copyright (C) 2008 by Spencer Oliver                                  *
+ *   spen@spen-soft.co.uk                                                  *
+ *                                                                         *
  *   This program is free software; you can redistribute it and/or modify  *
  *   it under the terms of the GNU General Public License as published by  *
  *   the Free Software Foundation; either version 2 of the License, or     *
 #endif
 
 #include "flash.h"
-#include "command.h"
-#include "target.h"
-#include "time_support.h"
-#include "fileio.h"
 #include "image.h"
-#include "log.h"
-#include "armv4_5.h"
-#include "algorithm.h"
-#include "binarybuffer.h"
-#include "armv7m.h"
-
-#include <string.h>
-#include <unistd.h>
-#include <stdlib.h>
-#include <sys/types.h>
-#include <sys/stat.h>
-#include <errno.h>
-#include <inttypes.h>
+#include "time_support.h"
 
 /* command handlers */
-int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_banks_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_probe_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_protect_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_write_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
-flash_bank_t *get_flash_bank_by_addr(target_t *target, u32 addr);
+static int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_probe_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_protect_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
+static int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc);
 
 /* flash drivers
  */
@@ -64,6 +51,7 @@ extern flash_driver_t cfi_flash;
 extern flash_driver_t at91sam7_flash;
 extern flash_driver_t str7x_flash;
 extern flash_driver_t str9x_flash;
+extern flash_driver_t aduc702x_flash;
 extern flash_driver_t stellaris_flash;
 extern flash_driver_t str9xpec_flash;
 extern flash_driver_t stm32x_flash;
@@ -71,14 +59,16 @@ extern flash_driver_t tms470_flash;
 extern flash_driver_t ecosflash_flash;
 extern flash_driver_t lpc288x_flash;
 extern flash_driver_t ocl_flash;
+extern flash_driver_t pic32mx_flash;
+extern flash_driver_t avr_flash;
 
-flash_driver_t *flash_drivers[] =
-{
+flash_driver_t *flash_drivers[] = {
        &lpc2000_flash,
        &cfi_flash,
        &at91sam7_flash,
        &str7x_flash,
        &str9x_flash,
+       &aduc702x_flash,
        &stellaris_flash,
        &str9xpec_flash,
        &stm32x_flash,
@@ -86,6 +76,8 @@ flash_driver_t *flash_drivers[] =
        &ecosflash_flash,
        &lpc288x_flash,
        &ocl_flash,
+       &pic32mx_flash,
+       &avr_flash,
        NULL,
 };
 
@@ -132,21 +124,53 @@ int flash_driver_protect(struct flash_bank_s *bank, int set, int first, int last
        return retval;
 }
 
-
 int flash_register_commands(struct command_context_s *cmd_ctx)
 {
        flash_cmd = register_command(cmd_ctx, NULL, "flash", NULL, COMMAND_ANY, NULL);
 
-       register_command(cmd_ctx, flash_cmd, "bank", handle_flash_bank_command, COMMAND_CONFIG, "flash_bank <driver> <base> <size> <chip_width> <bus_width> <target> [driver_options ...]");
+       register_command(cmd_ctx, flash_cmd, "bank", handle_flash_bank_command, COMMAND_CONFIG, "flash bank <driver> <base> <size> <chip_width> <bus_width> <target> [driver_options ...]");
        return ERROR_OK;
 }
 
+static int jim_flash_banks(Jim_Interp *interp, int argc, Jim_Obj *const *argv)
+{
+       flash_bank_t *p;
+
+       if (argc != 1) {
+               Jim_WrongNumArgs(interp, 1, argv, "no arguments to flash_banks command");
+               return JIM_ERR;
+       }
+
+       Jim_Obj *list=Jim_NewListObj(interp, NULL, 0);
+       for (p = flash_banks; p; p = p->next)
+       {
+               Jim_Obj *elem=Jim_NewListObj(interp, NULL, 0);
+
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "name", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, p->driver->name, -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "base", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->base));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "size", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->size));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "bus_width", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->bus_width));
+               Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "chip_width", -1));
+               Jim_ListAppendElement(interp, elem, Jim_NewIntObj(interp, p->chip_width));
+
+               Jim_ListAppendElement(interp, list, elem);
+       }
+
+       Jim_SetResult(interp, list);
+
+       return JIM_OK;
+}
+
 int flash_init_drivers(struct command_context_s *cmd_ctx)
 {
+       register_jim(cmd_ctx, "ocd_flash_banks", jim_flash_banks, "return information about the flash banks");
+
        if (flash_banks)
        {
-               register_command(cmd_ctx, flash_cmd, "banks", handle_flash_banks_command, COMMAND_EXEC,
-                                                "list configured flash banks ");
                register_command(cmd_ctx, flash_cmd, "info", handle_flash_info_command, COMMAND_EXEC,
                                                 "print info about flash bank <num>");
                register_command(cmd_ctx, flash_cmd, "probe", handle_flash_probe_command, COMMAND_EXEC,
@@ -161,7 +185,7 @@ int flash_init_drivers(struct command_context_s *cmd_ctx)
                                                 "erase address range <address> <length>");
 
                register_command(cmd_ctx, flash_cmd, "fillw", handle_flash_fill_command, COMMAND_EXEC,
-                                                "fill with pattern <address> <word_pattern> <count>");
+                                                "fill with pattern (no autoerase) <address> <word_pattern> <count>");
                register_command(cmd_ctx, flash_cmd, "fillh", handle_flash_fill_command, COMMAND_EXEC,
                                                 "fill with pattern <address> <halfword_pattern> <count>");
                register_command(cmd_ctx, flash_cmd, "fillb", handle_flash_fill_command, COMMAND_EXEC,
@@ -194,7 +218,7 @@ flash_bank_t *get_flash_bank_by_num_noprobe(int num)
        return NULL;
 }
 
-int flash_get_bank_count()
+int flash_get_bank_count(void)
 {
        flash_bank_t *p;
        int i = 0;
@@ -223,8 +247,9 @@ flash_bank_t *get_flash_bank_by_num(int num)
        return p;
 }
 
-int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
+       int retval;
        int i;
        int found = 0;
        target_t *target;
@@ -234,10 +259,10 @@ int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char
                return ERROR_COMMAND_SYNTAX_ERROR;
        }
 
-       if ((target = get_target_by_num(strtoul(args[5], NULL, 0))) == NULL)
+       if ((target = get_target(args[5])) == NULL)
        {
-               LOG_ERROR("target %lu not defined", strtoul(args[5], NULL, 0));
-               return ERROR_OK;
+               LOG_ERROR("target '%s' not defined", args[5]);
+               return ERROR_FAIL;
        }
 
        for (i = 0; flash_drivers[i]; i++)
@@ -250,7 +275,7 @@ int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char
                        if (flash_drivers[i]->register_commands(cmd_ctx) != ERROR_OK)
                        {
                                LOG_ERROR("couldn't register '%s' commands", args[0]);
-                               exit(-1);
+                               return ERROR_FAIL;
                        }
 
                        c = malloc(sizeof(flash_bank_t));
@@ -265,24 +290,27 @@ int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char
                        c->sectors = NULL;
                        c->next = NULL;
 
-                       if (flash_drivers[i]->flash_bank_command(cmd_ctx, cmd, args, argc, c) != ERROR_OK)
+                       if ((retval=flash_drivers[i]->flash_bank_command(cmd_ctx, cmd, args, argc, c)) != ERROR_OK)
                        {
                                LOG_ERROR("'%s' driver rejected flash bank at 0x%8.8x", args[0], c->base);
                                free(c);
-                               return ERROR_OK;
+                               return retval;
                        }
 
                        /* put flash bank in linked list */
                        if (flash_banks)
                        {
+                               int     bank_num = 0;
                                /* find last flash bank */
-                               for (p = flash_banks; p && p->next; p = p->next);
+                               for (p = flash_banks; p && p->next; p = p->next) bank_num++;
                                if (p)
                                        p->next = c;
+                               c->bank_number = bank_num + 1;
                        }
                        else
                        {
                                flash_banks = c;
+                               c->bank_number = 0;
                        }
 
                        found = 1;
@@ -293,36 +321,16 @@ int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd, char
        if (!found)
        {
                LOG_ERROR("flash driver '%s' not found", args[0]);
-               exit(-1);
-       }
-
-       return ERROR_OK;
-}
-
-int handle_flash_banks_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
-{
-       flash_bank_t *p;
-       int i = 0;
-
-       if (!flash_banks)
-       {
-               command_print(cmd_ctx, "no flash banks configured");
-               return ERROR_OK;
-       }
-
-       for (p = flash_banks; p; p = p->next)
-       {
-               command_print(cmd_ctx, "#%i: %s at 0x%8.8x, size 0x%8.8x, buswidth %i, chipwidth %i",
-                                         i++, p->driver->name, p->base, p->size, p->bus_width, p->chip_width);
+               return ERROR_FAIL;
        }
 
        return ERROR_OK;
 }
 
-int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        flash_bank_t *p;
-       int i = 0;
+       u32 i = 0;
        int j = 0;
        int retval;
 
@@ -354,7 +362,7 @@ int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char
                                else
                                        protect_state = "protection state unknown";
 
-                               command_print(cmd_ctx, "\t#%i: 0x%8.8x (0x%x %ikB) %s",
+                               command_print(cmd_ctx, "\t#%3i: 0x%8.8x (0x%x %ikB) %s",
                                                        j, p->sectors[j].offset, p->sectors[j].size, p->sectors[j].size>>10,
                                                        protect_state);
                        }
@@ -370,7 +378,7 @@ int handle_flash_info_command(struct command_context_s *cmd_ctx, char *cmd, char
        return ERROR_OK;
 }
 
-int handle_flash_probe_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_probe_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        flash_bank_t *p;
        int retval;
@@ -406,7 +414,7 @@ int handle_flash_probe_command(struct command_context_s *cmd_ctx, char *cmd, cha
        return ERROR_OK;
 }
 
-int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        flash_bank_t *p;
        int retval;
@@ -422,14 +430,14 @@ int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cm
                int j;
                if ((retval = p->driver->erase_check(p)) == ERROR_OK)
                {
-                       command_print(cmd_ctx, "successfully checked erase state", p->driver->name, p->base);
+                       command_print(cmd_ctx, "successfully checked erase state");
                }
                else
                {
                        command_print(cmd_ctx, "unknown error when checking erase state of flash bank #%s at 0x%8.8x",
                                args[0], p->base);
                }
-               
+
                for (j = 0; j < p->num_sectors; j++)
                {
                        char *erase_state;
@@ -441,17 +449,16 @@ int handle_flash_erase_check_command(struct command_context_s *cmd_ctx, char *cm
                        else
                                erase_state = "erase state unknown";
 
-                       command_print(cmd_ctx, "\t#%i: 0x%8.8x (0x%x %ikB) %s",
+                       command_print(cmd_ctx, "\t#%3i: 0x%8.8x (0x%x %ikB) %s",
                                                j, p->sectors[j].offset, p->sectors[j].size, p->sectors[j].size>>10,
                                                erase_state);
                }
-               
        }
 
        return ERROR_OK;
 }
 
-int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        flash_bank_t *p;
        int retval;
@@ -478,7 +485,7 @@ int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *
        p = get_flash_bank_by_addr(target, address);
        if (p == NULL)
        {
-               return ERROR_COMMAND_SYNTAX_ERROR;
+               return ERROR_FAIL;
        }
 
        /* We can't know if we did a resume + halt, in which case we no longer know the erased state */
@@ -488,7 +495,10 @@ int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *
 
        if ((retval = flash_erase_address_range(target, address, length)) == ERROR_OK)
        {
-               duration_stop_measure(&duration, &duration_text);
+               if ((retval = duration_stop_measure(&duration, &duration_text)) != ERROR_OK)
+               {
+                       return retval;
+               }
                command_print(cmd_ctx, "erased address 0x%8.8x length %i in %s", address, length, duration_text);
                free(duration_text);
        }
@@ -496,7 +506,7 @@ int handle_flash_erase_address_command(struct command_context_s *cmd_ctx, char *
        return retval;
 }
 
-int handle_flash_protect_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_protect_check_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        flash_bank_t *p;
        int retval;
@@ -530,7 +540,7 @@ int handle_flash_protect_check_command(struct command_context_s *cmd_ctx, char *
        return ERROR_OK;
 }
 
-int handle_flash_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_erase_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        if (argc > 2)
        {
@@ -550,9 +560,13 @@ int handle_flash_erase_command(struct command_context_s *cmd_ctx, char *cmd, cha
 
                if ((retval = flash_driver_erase(p, first, last)) == ERROR_OK)
                {
-                       duration_stop_measure(&duration, &duration_text);
+                       if ((retval = duration_stop_measure(&duration, &duration_text)) != ERROR_OK)
+                       {
+                               return retval;
+                       }
 
-                       command_print(cmd_ctx, "erased sectors %i through %i on flash bank %i in %s", first, last, strtoul(args[0], 0, 0), duration_text);
+                       command_print(cmd_ctx, "erased sectors %i through %i on flash bank %li in %s",
+                               first, last, strtoul(args[0], 0, 0), duration_text);
                        free(duration_text);
                }
        }
@@ -564,7 +578,7 @@ int handle_flash_erase_command(struct command_context_s *cmd_ctx, char *cmd, cha
        return ERROR_OK;
 }
 
-int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        if (argc > 3)
        {
@@ -591,7 +605,9 @@ int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, c
                retval = flash_driver_protect(p, set, first, last);
                if (retval == ERROR_OK)
                {
-                       command_print(cmd_ctx, "%s protection for sectors %i through %i on flash bank %i", (set) ? "set" : "cleared", first, last, strtoul(args[0], 0, 0));
+                       command_print(cmd_ctx, "%s protection for sectors %i through %i on flash bank %li",
+                               (set) ? "set" : "cleared", first,
+                               last, strtoul(args[0], 0, 0));
                }
        }
        else
@@ -603,7 +619,7 @@ int handle_flash_protect_command(struct command_context_s *cmd_ctx, char *cmd, c
        return ERROR_OK;
 }
 
-int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        target_t *target = get_current_target(cmd_ctx);
 
@@ -613,16 +629,16 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        duration_t duration;
        char *duration_text;
 
-       int retval;
+       int retval, retvaltemp;
 
        if (argc < 1)
        {
                return ERROR_COMMAND_SYNTAX_ERROR;
        }
-       
+
        /* flash auto-erase is disabled by default*/
        int auto_erase = 0;
-       
+
        if (strcmp(args[0], "erase")==0)
        {
                auto_erase = 1;
@@ -630,13 +646,12 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
                argc--;
                command_print(cmd_ctx, "auto erase enabled");
        }
-       
 
        if (argc < 1)
        {
                return ERROR_COMMAND_SYNTAX_ERROR;
        }
-       
+
        if (!target)
        {
                LOG_ERROR("no target selected");
@@ -671,7 +686,11 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
                return retval;
        }
 
-       duration_stop_measure(&duration, &duration_text);
+       if ((retvaltemp = duration_stop_measure(&duration, &duration_text)) != ERROR_OK)
+       {
+               image_close(&image);
+               return retvaltemp;
+       }
        if (retval == ERROR_OK)
        {
                command_print(cmd_ctx, "wrote %u byte from file %s in %s (%f kb/s)",
@@ -685,34 +704,35 @@ int handle_flash_write_image_command(struct command_context_s *cmd_ctx, char *cm
        return retval;
 }
 
-int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
-       int err = ERROR_OK;
+       int err = ERROR_OK, retval;
        u32 address;
        u32 pattern;
        u32 count;
        u8 chunk[1024];
+       u8 readback[1024];
        u32 wrote = 0;
-       int chunk_count;
+       u32 cur_size = 0;
+       u32 chunk_count;
        char *duration_text;
        duration_t duration;
        target_t *target = get_current_target(cmd_ctx);
        u32 i;
-       int wordsize;
-       
+       u32 wordsize;
+
        if (argc != 3)
        {
                return ERROR_COMMAND_SYNTAX_ERROR;
        }
-       
+
        address = strtoul(args[0], NULL, 0);
        pattern = strtoul(args[1], NULL, 0);
        count   = strtoul(args[2], NULL, 0);
-       
+
        if(count == 0)
                return ERROR_OK;
 
-
        switch(cmd[4])
        {
        case 'w':
@@ -727,7 +747,7 @@ int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char
        default:
                return ERROR_COMMAND_SYNTAX_ERROR;
        }
-       
+
        chunk_count = MIN(count, (1024 / wordsize));
        switch(wordsize)
        {
@@ -750,34 +770,42 @@ int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char
                LOG_ERROR("BUG: can't happen");
                exit(-1);
        }
-       
+
        duration_start_measure(&duration);
 
-       flash_set_dirty();
-       err = flash_erase_address_range( target, address, count*wordsize );
-       if (err == ERROR_OK)
+       for (wrote=0; wrote<(count*wordsize); wrote += cur_size)
        {
-               for (wrote=0; wrote<(count*wordsize); wrote+=sizeof(chunk))
-               { 
-                       int cur_size = MIN( (count*wordsize - wrote) , 1024 );
-                       if (err == ERROR_OK)
+               cur_size = MIN( (count*wordsize - wrote), sizeof(chunk) );
+               flash_bank_t *bank;
+               bank = get_flash_bank_by_addr(target, address);
+               if(bank == NULL)
+               {
+                       return ERROR_FAIL;
+               }
+               err = flash_driver_write(bank, chunk, address - bank->base + wrote, cur_size);
+               if (err!=ERROR_OK)
+                       return err;
+
+               err = target_read_buffer(target, address + wrote, cur_size, readback);
+               if (err!=ERROR_OK)
+                       return err;
+
+               unsigned i;
+               for (i=0; i<cur_size; i++)
+               {
+                       if (readback[i]!=chunk[i])
                        {
-                               flash_bank_t *bank;
-                               bank = get_flash_bank_by_addr(target, address);
-                               if(bank == NULL)
-                               {
-                                       err = ERROR_FAIL;
-                                       break;
-                               }
-                               err = flash_driver_write(bank, chunk, address - bank->base + wrote, cur_size);
-                               wrote += cur_size;
+                               LOG_ERROR("Verfication error address 0x%08x, read back 0x%02x, expected 0x%02x", address + wrote + i, readback[i], chunk[i]);
+                               return ERROR_FAIL;
                        }
-                       if (err!=ERROR_OK)
-                               break;
                }
+
+       }
+
+       if ((retval = duration_stop_measure(&duration, &duration_text)) != ERROR_OK)
+       {
+               return retval;
        }
-       
-       duration_stop_measure(&duration, &duration_text);
 
        if(err == ERROR_OK)
        {
@@ -792,7 +820,7 @@ int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cmd, char
        return ERROR_OK;
 }
 
-int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
+static int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
 {
        u32 offset;
        u8 *buffer;
@@ -803,7 +831,7 @@ int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd
        duration_t duration;
        char *duration_text;
 
-       int retval;
+       int retval, retvaltemp;
        flash_bank_t *p;
 
        if (argc != 3)
@@ -829,17 +857,24 @@ int handle_flash_write_bank_command(struct command_context_s *cmd_ctx, char *cmd
        buffer = malloc(fileio.size);
        if (fileio_read(&fileio, fileio.size, buffer, &buf_cnt) != ERROR_OK)
        {
+               free(buffer);
+               fileio_close(&fileio);
                return ERROR_OK;
        }
 
        retval = flash_driver_write(p, buffer, offset, buf_cnt);
 
        free(buffer);
+       buffer = NULL;
 
-       duration_stop_measure(&duration, &duration_text);
-       if (retval!=ERROR_OK)
+       if ((retvaltemp = duration_stop_measure(&duration, &duration_text)) != ERROR_OK)
        {
-       command_print(cmd_ctx, "wrote  %"PRIi64" byte from file %s to flash bank %i at offset 0x%8.8x in %s (%f kb/s)",
+               fileio_close(&fileio);
+               return retvaltemp;
+       }
+       if (retval==ERROR_OK)
+       {
+       command_print(cmd_ctx, "wrote  %lld byte from file %s to flash bank %li at offset 0x%8.8x in %s (%f kb/s)",
                fileio.size, args[1], strtoul(args[0], NULL, 0), offset, duration_text,
                (float)fileio.size / 1024.0 / ((float)duration.duration.tv_sec + ((float)duration.duration.tv_usec / 1000000.0)));
        }
@@ -882,7 +917,7 @@ flash_bank_t *get_flash_bank_by_addr(target_t *target, u32 addr)
                        return NULL;
                }
                /* check whether address belongs to this flash bank */
-               if ((addr >= c->base) && (addr < c->base + c->size) && target == c->target)
+               if ((addr >= c->base) && (addr <= c->base + (c->size - 1)) && target == c->target)
                        return c;
        }
        LOG_ERROR("No flash at address 0x%08x\n", addr);
@@ -916,7 +951,7 @@ int flash_erase_address_range(target_t *target, u32 addr, u32 length)
        }
 
        /* check whether it fits */
-       if (addr + length > c->base + c->size)
+       if (addr + length - 1 > c->base + c->size - 1)
                return ERROR_FLASH_DST_BREAKS_ALIGNMENT;
 
        addr -= c->base;
@@ -946,6 +981,7 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
        int section;
        u32 section_offset;
        flash_bank_t *c;
+       int *padding;
 
        section = 0;
        section_offset = 0;
@@ -961,6 +997,9 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                flash_set_dirty();
        }
 
+       /* allocate padding array */
+       padding = malloc(image->num_sections * sizeof(padding));
+
        /* loop until we reach end of the image */
        while (section < image->num_sections)
        {
@@ -970,6 +1009,7 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                int section_last;
                u32 run_address = image->sections[section].base_address + section_offset;
                u32 run_size = image->sections[section].size - section_offset;
+               int pad_bytes = 0;
 
                if (image->sections[section].size ==  0)
                {
@@ -990,7 +1030,8 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                /* collect consecutive sections which fall into the same bank */
                section_first = section;
                section_last = section;
-               while ((run_address + run_size < c->base + c->size)
+               padding[section] = 0;
+               while ((run_address + run_size - 1 < c->base + c->size - 1)
                                && (section_last + 1 < image->num_sections))
                {
                        if (image->sections[section_last + 1].base_address < (run_address + run_size))
@@ -998,14 +1039,26 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                                LOG_DEBUG("section %d out of order(very slightly surprising, but supported)", section_last + 1);
                                break;
                        }
-                       if (image->sections[section_last + 1].base_address != (run_address + run_size))
+                       /* if we have multiple sections within our image, flash programming could fail due to alignment issues
+                        * attempt to rebuild a consecutive buffer for the flash loader */
+                       pad_bytes = (image->sections[section_last + 1].base_address) - (run_address + run_size);
+                       if ((run_address + run_size + pad_bytes) > (c->base + c->size))
                                break;
+                       padding[section_last] = pad_bytes;
                        run_size += image->sections[++section_last].size;
+                       run_size += pad_bytes;
+                       padding[section_last] = 0;
+
+                       LOG_INFO("Padding image section %d with %d bytes", section_last-1, pad_bytes );
                }
 
                /* fit the run into bank constraints */
-               if (run_address + run_size > c->base + c->size)
+               if (run_address + run_size - 1 > c->base + c->size - 1)
+               {
+                       LOG_WARNING("writing %d bytes only - as image section is %d bytes and bank is only %d bytes", \
+                                       c->base + c->size - run_address, run_size, c->size);
                        run_size = c->base + c->size - run_address;
+               }
 
                /* allocate buffer */
                buffer = malloc(run_size);
@@ -1016,19 +1069,22 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                {
                        u32 size_read;
 
-                       if (buffer_size - run_size <= image->sections[section].size - section_offset)
-                               size_read = buffer_size - run_size;
-                       else
-                               size_read = image->sections[section].size - section_offset;
+                       size_read = run_size - buffer_size;
+                       if (size_read > image->sections[section].size - section_offset)
+                           size_read = image->sections[section].size - section_offset;
 
                        if ((retval = image_read_section(image, section, section_offset,
                                        size_read, buffer + buffer_size, &size_read)) != ERROR_OK || size_read == 0)
                        {
                                free(buffer);
-
+                               free(padding);
                                return retval;
                        }
 
+                       /* see if we need to pad the section */
+                       while (padding[section]--)
+                                (buffer+buffer_size)[size_read++] = 0xff;
+
                        buffer_size += size_read;
                        section_offset += size_read;
 
@@ -1057,6 +1113,7 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
 
                if (retval != ERROR_OK)
                {
+                       free(padding);
                        return retval; /* abort operation */
                }
 
@@ -1064,244 +1121,94 @@ int flash_write(target_t *target, image_t *image, u32 *written, int erase)
                        *written += run_size; /* add run size to total written counter */
        }
 
+       free(padding);
+
        return retval;
 }
 
-int default_flash_blank_check(struct flash_bank_s *bank)
+int default_flash_mem_blank_check(struct flash_bank_s *bank)
 {
        target_t *target = bank->target;
        u8 buffer[1024];
-       int buffer_size=sizeof(buffer);
+       int buffer_size = sizeof(buffer);
        int i;
-       int nBytes;
-       
+       u32 nBytes;
+
        if (bank->target->state != TARGET_HALTED)
        {
+               LOG_ERROR("Target not halted");
                return ERROR_TARGET_NOT_HALTED;
        }
-       
-       int retval;
-       int fast_check=0;
-       working_area_t *erase_check_algorithm;
-#if 0
-       /* FIX! doesn't work yet... */
-       /*
-       char test(char *a, int len, char t)
-       {
-         int i=0;
-       
-         for (i=0; i<len; i++)
-               {
-                 t&=a[i];
-       
-               }
-       }
-       
-       $ arm-elf-gcc -c -mthumb -O3 test.c
-       
-       $ arm-elf-objdump --disassemble test.o
-       
-       test.o:     file format elf32-littlearm
-       
-       Disassembly of section .text:
-       
-       00000000 <test>:
-          0:   b510            push    {r4, lr}
-          2:   0612            lsl     r2, r2, #24
-          4:   1c04            mov     r4, r0          (add r4, r0, #0)
-          6:   0e10            lsr     r0, r2, #24
-          8:   2200            mov     r2, #0
-          a:   2900            cmp     r1, #0
-          c:   dd04            ble     18 <test+0x18>
-          e:   5ca3            ldrb    r3, [r4, r2]
-         10:   3201            add     r2, #1
-         12:   4018            and     r0, r3
-         14:   428a            cmp     r2, r1
-         16:   dbfa            blt     e <test+0xe>
-         18:   bd10            pop     {r4, pc}
-         1a:   46c0            nop                     (mov r8, r8)
-       
-
-       */
-       u16 erase_check_code[] =
-       {
-                0x0612,//            lsl     r2, r2, #24
-                0x1c04,//            mov     r4, r0          (add r4, r0, #0)
-                0x0e10,//            lsr     r0, r2, #24
-                0x2200,//            mov     r2, #0
-                0x2900,//            cmp     r1, #0
-                0xdd04,//            ble     18 <test+0x18>
-                0x5ca3,//            ldrb    r3, [r4, r2]
-                0x3201,//            add     r2, #1
-                0x4018,//            and     r0, r3
-                0x428a,//            cmp     r2, r1
-                0xdbfa,//            blt     e <test+0xe>
-                0x46c0,//            nop                     (mov r8, r8)
-                
-       };
-
-
-       
-       /* make sure we have a working area */
-       if (target_alloc_working_area(target, ((sizeof(erase_check_code)+3)/4)*4, &erase_check_algorithm) != ERROR_OK)
-       {
-               erase_check_algorithm = NULL;
-       }
-       
-       if (erase_check_algorithm)
-       {
-               u8 erase_check_code_buf[((sizeof(erase_check_code)+3)/4)*4];
-               LOG_DEBUG("Running fast flash erase check");
-               
-               for (i = 0; i < sizeof(erase_check_code)/sizeof(*erase_check_code); i++)
-                       target_buffer_set_u16(target, erase_check_code_buf + (i*2), erase_check_code[i]);
-
-               /* write algorithm code to working area */
-               if ((retval=target->type->write_memory(target, erase_check_algorithm->address, 2, sizeof(erase_check_code)/sizeof(*erase_check_code), erase_check_code_buf))==ERROR_OK)
+
+       for (i = 0; i < bank->num_sectors; i++)
+       {
+               u32 j;
+               bank->sectors[i].is_erased = 1;
+
+               for (j = 0; j < bank->sectors[i].size; j += buffer_size)
                {
-                       for (i = 0; i < bank->num_sectors; i++)
+                       u32 chunk;
+                       int retval;
+                       chunk = buffer_size;
+                       if (chunk > (j - bank->sectors[i].size))
                        {
-                               u32 address = bank->base + bank->sectors[i].offset;
-                               u32 size = bank->sectors[i].size;
-       
-                               reg_param_t reg_params[3];
-                               armv7m_algorithm_t arm_info;
-       
-                               arm_info.common_magic = ARMV7M_COMMON_MAGIC;
-                               arm_info.core_mode = ARMV7M_MODE_ANY;
-                               arm_info.core_state = ARMV7M_STATE_THUMB;
-       
-                               init_reg_param(&reg_params[0], "r0", 32, PARAM_OUT);
-                               buf_set_u32(reg_params[0].value, 0, 32, address);
-       
-                               init_reg_param(&reg_params[1], "r1", 32, PARAM_OUT);
-                               buf_set_u32(reg_params[1].value, 0, 32, size);
-       
-                               init_reg_param(&reg_params[2], "r2", 32, PARAM_IN_OUT);
-                               buf_set_u32(reg_params[2].value, 0, 32, 0xff);
-       
-                               if ((retval = target->type->run_algorithm(target, 0, NULL, 3, reg_params, erase_check_algorithm->address, 
-                                               erase_check_algorithm->address + sizeof(erase_check_code) - 2, 10000, &arm_info)) != ERROR_OK)
-                                       break;
-       
-                               if (buf_get_u32(reg_params[2].value, 0, 32) == 0xff)
-                                       bank->sectors[i].is_erased = 1;
-                               else
-                                       bank->sectors[i].is_erased = 0;
-       
-                               destroy_reg_param(&reg_params[0]);
-                               destroy_reg_param(&reg_params[1]);
-                               destroy_reg_param(&reg_params[2]);
+                               chunk = (j - bank->sectors[i].size);
                        }
-                       if (i == bank->num_sectors)
+
+                       retval = target_read_memory(target, bank->base + bank->sectors[i].offset + j, 4, chunk/4, buffer);
+                       if (retval != ERROR_OK)
+                               return retval;
+
+                       for (nBytes = 0; nBytes < chunk; nBytes++)
                        {
-                               fast_check = 1;
+                               if (buffer[nBytes] != 0xFF)
+                               {
+                                       bank->sectors[i].is_erased = 0;
+                                       break;
+                               }
                        }
-               } 
-               target_free_working_area(target, erase_check_algorithm);
+               }
        }
-#endif
-       if (!fast_check)
+
+       return ERROR_OK;
+}
+
+int default_flash_blank_check(struct flash_bank_s *bank)
+{
+       target_t *target = bank->target;
+       int i;
+       int retval;
+       int fast_check = 0;
+       u32 blank;
+
+       if (bank->target->state != TARGET_HALTED)
        {
-               /* try ARM7 instead */
-       
-               u32 erase_check_code[] =
-               {
-                       0xe4d03001,     /* ldrb r3, [r0], #1    */
-                       0xe0022003, /* and r2, r2, r3           */
-                       0xe2511001, /* subs r1, r1, #1          */
-                       0x1afffffb,     /* b -4                                 */
-                       0xeafffffe      /* b 0                                  */
-               };
-
-               /* make sure we have a working area */
-               if (target_alloc_working_area(target, 20, &erase_check_algorithm) == ERROR_OK)
-               {
-                       u8 erase_check_code_buf[5 * 4];
+               LOG_ERROR("Target not halted");
+               return ERROR_TARGET_NOT_HALTED;
+       }
 
-                       for (i = 0; i < 5; i++)
-                               target_buffer_set_u32(target, erase_check_code_buf + (i*4), erase_check_code[i]);
+       for (i = 0; i < bank->num_sectors; i++)
+       {
+               u32 address = bank->base + bank->sectors[i].offset;
+               u32 size = bank->sectors[i].size;
 
-                       /* write algorithm code to working area */
-                       if ((retval=target->type->write_memory(target, erase_check_algorithm->address, 4, 5, erase_check_code_buf))==ERROR_OK)
-                       {
-                               for (i = 0; i < bank->num_sectors; i++)
-                               {
-                                       u32 address = bank->base + bank->sectors[i].offset;
-                                       u32 size = bank->sectors[i].size;
-                       
-                                       reg_param_t reg_params[3];
-                                       armv4_5_algorithm_t armv4_5_info;
-                       
-                                       armv4_5_info.common_magic = ARMV4_5_COMMON_MAGIC;
-                                       armv4_5_info.core_mode = ARMV4_5_MODE_SVC;
-                                       armv4_5_info.core_state = ARMV4_5_STATE_ARM;
-                       
-                                       init_reg_param(&reg_params[0], "r0", 32, PARAM_OUT);
-                                       buf_set_u32(reg_params[0].value, 0, 32, address);
-                       
-                                       init_reg_param(&reg_params[1], "r1", 32, PARAM_OUT);
-                                       buf_set_u32(reg_params[1].value, 0, 32, size);
-                       
-                                       init_reg_param(&reg_params[2], "r2", 32, PARAM_IN_OUT);
-                                       buf_set_u32(reg_params[2].value, 0, 32, 0xff);
-                       
-                                       if ((retval = target->type->run_algorithm(target, 0, NULL, 3, reg_params, 
-                                                       erase_check_algorithm->address, erase_check_algorithm->address + 0x10, 10000, &armv4_5_info)) != ERROR_OK)
-                                               break;
-                       
-                                       if (buf_get_u32(reg_params[2].value, 0, 32) == 0xff)
-                                               bank->sectors[i].is_erased = 1;
-                                       else
-                                               bank->sectors[i].is_erased = 0;
-                       
-                                       destroy_reg_param(&reg_params[0]);
-                                       destroy_reg_param(&reg_params[1]);
-                                       destroy_reg_param(&reg_params[2]);
-                               }
-                               if (i == bank->num_sectors)
-                               {
-                                       fast_check = 1;
-                               }
-                       } 
-                       target_free_working_area(target, erase_check_algorithm);
+               if ((retval = target_blank_check_memory(target, address, size, &blank)) != ERROR_OK)
+               {
+                       fast_check = 0;
+                       break;
                }
+               if (blank == 0xFF)
+                       bank->sectors[i].is_erased = 1;
+               else
+                       bank->sectors[i].is_erased = 0;
+               fast_check = 1;
        }
 
-       
        if (!fast_check)
        {
                LOG_USER("Running slow fallback erase check - add working memory");
-               for (i = 0; i < bank->num_sectors; i++)
-               {
-                       int j;
-                       bank->sectors[i].is_erased = 1;
-                       
-                       for (j=0; j<bank->sectors[i].size; j+=buffer_size)
-                       {
-                               int chunk;
-                               int retval;
-                               chunk=buffer_size;
-                               if (chunk>(j-bank->sectors[i].size))
-                               {
-                                       chunk=(j-bank->sectors[i].size);
-                               }
-                               
-                               retval=target->type->read_memory(target, bank->base + bank->sectors[i].offset + j, 4, chunk/4, buffer);
-                               if (retval!=ERROR_OK)
-                                       return retval;
-                       
-                               for (nBytes = 0; nBytes < chunk; nBytes++)
-                               {
-                                       if (buffer[nBytes] != 0xFF)
-                                       {
-                                               bank->sectors[i].is_erased = 0;
-                                               break;
-                                       }
-                               }
-                       }
-               }
+               return default_flash_mem_blank_check(bank);
        }
-       
+
        return ERROR_OK;
 }