[mv] blink source into example/blink
[fw/stlink] / doc / tutorial / tutorial.tex
index 21bd13a570abf0553a919a42366df03d86a5c50f..53a220dec3d612ce7d1e73505afbb43fe46835b6 100644 (file)
@@ -88,7 +88,7 @@ $> make ;
 A simple LED blinking example is provided in the example directory. It is built using:\\
 \begin{small}
 \begin{lstlisting}[frame=tb]
-cd stlink.git/example ;
+cd stlink.git/example/blink ;
 PATH=$TOOLCHAIN_PATH/bin:$PATH make ;
 \end{lstlisting}
 \end{small}