module ram_2port #(parameter DWIDTH=32, parameter AWIDTH=9) (input clka, input ena, input wea, input [AWIDTH-1:0] addra, input [DWIDTH-1:0] dia, output reg [DWIDTH-1:0] doa, input clkb, input enb, input web, input [AWIDTH-1:0] addrb, input [DWIDTH-1:0] dib, output reg [DWIDTH-1:0] dob); reg [DWIDTH-1:0] ram [(1<