module medfifo #(parameter WIDTH=32, parameter DEPTH=1) (input clk, input rst, input [WIDTH-1:0] datain, output [WIDTH-1:0] dataout, input read, input write, input clear, output full, output empty, output [7:0] space, output [7:0] occupied); localparam NUM_FIFOS = (1<