]> git.gag.com Git - debian/gnuradio/blob - usrp2/fpga/top/u2_fpga/u2_fpga.ucf
Merge branch 'wip/wxgui' of http://gnuradio.org/git/jblum
[debian/gnuradio] / usrp2 / fpga / top / u2_fpga / u2_fpga.ucf
1 NET "adc_a[0]"  LOC = "A14"  ;
2 NET "adc_a[10]"  LOC = "D20"  ;
3 NET "adc_a[11]"  LOC = "D19"  ;
4 NET "adc_a[12]"  LOC = "D21"  ;
5 NET "adc_a[13]"  LOC = "E18"  ;
6 NET "adc_a[1]"  LOC = "B14"  ;
7 NET "adc_a[2]"  LOC = "C13"  ;
8 NET "adc_a[3]"  LOC = "D13"  ;
9 NET "adc_a[4]"  LOC = "A13"  ;
10 NET "adc_a[5]"  LOC = "B13"  ;
11 NET "adc_a[6]"  LOC = "E12"  ;
12 NET "adc_a[7]"  LOC = "C22"  ;
13 NET "adc_a[8]"  LOC = "C20"  ;
14 NET "adc_a[9]"  LOC = "C21"  ;
15 NET "adc_b[0]"  LOC = "A12"  ;
16 NET "adc_b[10]"  LOC = "D18"  ;
17 NET "adc_b[11]"  LOC = "B18"  ;
18 NET "adc_b[12]"  LOC = "D17"  ;
19 NET "adc_b[13]"  LOC = "E17"  ;
20 NET "adc_b[1]"  LOC = "E16"  ;
21 NET "adc_b[2]"  LOC = "F12"  ;
22 NET "adc_b[3]"  LOC = "F13"  ;
23 NET "adc_b[4]"  LOC = "F16"  ;
24 NET "adc_b[5]"  LOC = "F17"  ;
25 NET "adc_b[6]"  LOC = "C19"  ;
26 NET "adc_b[7]"  LOC = "B20"  ;
27 NET "adc_b[8]"  LOC = "B19"  ;
28 NET "adc_b[9]"  LOC = "C18"  ;
29 NET "clk_en[0]"  LOC = "C4"  ;
30 NET "clk_en[1]"  LOC = "D1"  ;
31 NET "clk_sel[0]"  LOC = "C3"  ;
32 NET "clk_sel[1]"  LOC = "C2"  ;
33 NET "dac_a[0]"  LOC = "A5"  ;
34 NET "dac_a[10]"  LOC = "L2"  ;
35 NET "dac_a[11]"  LOC = "L4"  ;
36 NET "dac_a[12]"  LOC = "L3"  ;
37 NET "dac_a[13]"  LOC = "L6"  ;
38 NET "dac_a[14]"  LOC = "L5"  ;
39 NET "dac_a[15]"  LOC = "K2"  ;
40 NET "dac_a[1]"  LOC = "B5"  ;
41 NET "dac_a[2]"  LOC = "C5"  ;
42 NET "dac_a[3]"  LOC = "D5"  ;
43 NET "dac_a[4]"  LOC = "A4"  ;
44 NET "dac_a[5]"  LOC = "B4"  ;
45 NET "dac_a[6]"  LOC = "F6"  ;
46 NET "dac_a[7]"  LOC = "D10"  ;
47 NET "dac_a[8]"  LOC = "D9"  ;
48 NET "dac_a[9]"  LOC = "A10"  ;
49 NET "dac_b[0]"  LOC = "D11"  ;
50 NET "dac_b[10]"  LOC = "F9"  ;
51 NET "dac_b[11]"  LOC = "A8"  ;
52 NET "dac_b[12]"  LOC = "B8"  ;
53 NET "dac_b[13]"  LOC = "D7"  ;
54 NET "dac_b[14]"  LOC = "E7"  ;
55 NET "dac_b[15]"  LOC = "B6"  ;
56 NET "dac_b[1]"  LOC = "E11"  ;
57 NET "dac_b[2]"  LOC = "F11"  ;
58 NET "dac_b[3]"  LOC = "B10"  ;
59 NET "dac_b[4]"  LOC = "C10"  ;
60 NET "dac_b[5]"  LOC = "E10"  ;
61 NET "dac_b[6]"  LOC = "F10"  ;
62 NET "dac_b[7]"  LOC = "A9"  ;
63 NET "dac_b[8]"  LOC = "B9"  ;
64 NET "dac_b[9]"  LOC = "E9"  ;
65 NET "debug[0]"  LOC = "N5"  ;
66 NET "debug[10]"  LOC = "R4"  ;
67 NET "debug[11]"  LOC = "T3"  ;
68 NET "debug[12]"  LOC = "U3"  ;
69 NET "debug[13]"  LOC = "M2"  ;
70 NET "debug[14]"  LOC = "M3"  ;
71 NET "debug[15]"  LOC = "M4"  ;
72 NET "debug[16]"  LOC = "M5"  ;
73 NET "debug[17]"  LOC = "M6"  ;
74 NET "debug[18]"  LOC = "N1"  ;
75 NET "debug[19]"  LOC = "N2"  ;
76 NET "debug[1]"  LOC = "N6"  ;
77 NET "debug[20]"  LOC = "N3"  ;
78 NET "debug[21]"  LOC = "T1"  ;
79 NET "debug[22]"  LOC = "T2"  ;
80 NET "debug[23]"  LOC = "U2"  ;
81 NET "debug[24]"  LOC = "T4"  ;
82 NET "debug[25]"  LOC = "U4"  ;
83 NET "debug[26]"  LOC = "T5"  ;
84 NET "debug[27]"  LOC = "T6"  ;
85 NET "debug[28]"  LOC = "U5"  ;
86 NET "debug[29]"  LOC = "V5"  ;
87 NET "debug[2]"  LOC = "P1"  ;
88 NET "debug[30]"  LOC = "W2"  ;
89 NET "debug[31]"  LOC = "W3"  ;
90 NET "debug[3]"  LOC = "P2"  ;
91 NET "debug[4]"  LOC = "P4"  ;
92 NET "debug[5]"  LOC = "P5"  ;
93 NET "debug[6]"  LOC = "R1"  ;
94 NET "debug[7]"  LOC = "R2"  ;
95 NET "debug[8]"  LOC = "P6"  ;
96 NET "debug[9]"  LOC = "R5"  ;
97 NET "debug_clk[0]"  LOC = "N4"  ;
98 NET "debug_clk[1]"  LOC = "M1"  ;
99 NET "GMII_RXD[0]"  LOC = "AA15"  ;
100 NET "GMII_RXD[1]"  LOC = "AB15"  ;
101 NET "GMII_RXD[2]"  LOC = "U14"  ;
102 NET "GMII_RXD[3]"  LOC = "V14"  ;
103 NET "GMII_RXD[4]"  LOC = "U13"  ;
104 NET "GMII_RXD[5]"  LOC = "V13"  ;
105 NET "GMII_RXD[6]"  LOC = "Y13"  ;
106 NET "GMII_RXD[7]"  LOC = "AA13"  ;
107 NET "GMII_TXD[0]"  LOC = "W14"  ;
108 NET "GMII_TXD[1]"  LOC = "AA20"  ;
109 NET "GMII_TXD[2]"  LOC = "AB20"  ;
110 NET "GMII_TXD[3]"  LOC = "Y18"  ;
111 NET "GMII_TXD[4]"  LOC = "AA18"  ;
112 NET "GMII_TXD[5]"  LOC = "AB18"  ;
113 NET "GMII_TXD[6]"  LOC = "V17"  ;
114 NET "GMII_TXD[7]"  LOC = "W17"  ;
115 NET "io_rx[0]"  LOC = "L21"  ;
116 NET "io_rx[10]"  LOC = "F21"  ;
117 NET "io_rx[11]"  LOC = "F20"  ;
118 NET "io_rx[12]"  LOC = "G19"  ;
119 NET "io_rx[13]"  LOC = "G18"  ;
120 NET "io_rx[14]"  LOC = "G17"  ;
121 NET "io_rx[15]"  LOC = "E22"  ;
122 NET "io_rx[1]"  LOC = "L20"  ;
123 NET "io_rx[2]"  LOC = "L19"  ;
124 NET "io_rx[3]"  LOC = "L18"  ;
125 NET "io_rx[4]"  LOC = "L17"  ;
126 NET "io_rx[5]"  LOC = "K22"  ;
127 NET "io_rx[6]"  LOC = "K21"  ;
128 NET "io_rx[7]"  LOC = "K20"  ;
129 NET "io_rx[8]"  LOC = "G22"  ;
130 NET "io_rx[9]"  LOC = "G21"  ;
131 NET "io_tx[0]"  LOC = "K4"  ;
132 NET "io_tx[10]"  LOC = "E1"  ;
133 NET "io_tx[11]"  LOC = "E3"  ;
134 NET "io_tx[12]"  LOC = "F4"  ;
135 NET "io_tx[13]"  LOC = "D2"  ;
136 NET "io_tx[14]"  LOC = "D4"  ;
137 NET "io_tx[15]"  LOC = "E4"  ;
138 NET "io_tx[1]"  LOC = "K3"  ;
139 NET "io_tx[2]"  LOC = "G1"  ;
140 NET "io_tx[3]"  LOC = "G5"  ;
141 NET "io_tx[4]"  LOC = "H5"  ;
142 NET "io_tx[5]"  LOC = "F3"  ;
143 NET "io_tx[6]"  LOC = "F2"  ;
144 NET "io_tx[7]"  LOC = "F5"  ;
145 NET "io_tx[8]"  LOC = "G6"  ;
146 NET "io_tx[9]"  LOC = "E2"  ;
147 NET "RAM_A[0]"  LOC = "N22"  ;
148 NET "RAM_A[10]"  LOC = "P18"  ;
149 NET "RAM_A[11]"  LOC = "R19"  ;
150 NET "RAM_A[12]"  LOC = "P19"  ;
151 NET "RAM_A[13]"  LOC = "R21"  ;
152 NET "RAM_A[14]"  LOC = "R22"  ;
153 NET "RAM_A[15]"  LOC = "T19"  ;
154 NET "RAM_A[16]"  LOC = "T20"  ;
155 NET "RAM_A[17]"  LOC = "U20"  ;
156 NET "RAM_A[18]"  LOC = "W19"  ;
157 NET "RAM_A[1]"  LOC = "N20"  ;
158 NET "RAM_A[2]"  LOC = "T21"  ;
159 NET "RAM_A[3]"  LOC = "M22"  ;
160 NET "RAM_A[4]"  LOC = "N19"  ;
161 NET "RAM_A[5]"  LOC = "N17"  ;
162 NET "RAM_A[6]"  LOC = "N18"  ;
163 NET "RAM_A[7]"  LOC = "P21"  ;
164 NET "RAM_A[8]"  LOC = "P22"  ;
165 NET "RAM_A[9]"  LOC = "P17"  ;
166 NET "RAM_D[0]"  LOC = "Y21"  ;
167 NET "RAM_D[10]"  LOC = "V22"  ;
168 NET "RAM_D[11]"  LOC = "V21"  ;
169 NET "RAM_D[12]"  LOC = "T17"  ;
170 NET "RAM_D[13]"  LOC = "U18"  ;
171 NET "RAM_D[14]"  LOC = "U21"  ;
172 NET "RAM_D[15]"  LOC = "R18"  ;
173 NET "RAM_D[16]"  LOC = "T18"  ;
174 NET "RAM_D[17]"  LOC = "T22"  ;
175 NET "RAM_D[1]"  LOC = "Y20"  ;
176 NET "RAM_D[2]"  LOC = "Y19"  ;
177 NET "RAM_D[3]"  LOC = "W22"  ;
178 NET "RAM_D[4]"  LOC = "Y22"  ;
179 NET "RAM_D[5]"  LOC = "V19"  ;
180 NET "RAM_D[6]"  LOC = "W21"  ;
181 NET "RAM_D[7]"  LOC = "W20"  ;
182 NET "RAM_D[8]"  LOC = "U19"  ;
183 NET "RAM_D[9]"  LOC = "V20"  ;
184 NET "ser_r[0]"  LOC = "AB10"  ;
185 NET "ser_r[10]"  LOC = "W10"  ;
186 NET "ser_r[11]"  LOC = "Y1"  ;
187 NET "ser_r[12]"  LOC = "Y3"  ;
188 NET "ser_r[13]"  LOC = "Y2"  ;
189 NET "ser_r[14]"  LOC = "W4"  ;
190 NET "ser_r[15]"  LOC = "W1"  ;
191 NET "ser_r[1]"  LOC = "AA10"  ;
192 NET "ser_r[2]"  LOC = "U9"  ;
193 NET "ser_r[3]"  LOC = "U6"  ;
194 NET "ser_r[4]"  LOC = "AB11"  ;
195 NET "ser_r[5]"  LOC = "Y7"  ;
196 NET "ser_r[6]"  LOC = "W7"  ;
197 NET "ser_r[7]"  LOC = "AB7"  ;
198 NET "ser_r[8]"  LOC = "AA7"  ;
199 NET "ser_r[9]"  LOC = "W9"  ;
200 NET "ser_t[0]"  LOC = "V7"  ;
201 NET "ser_t[10]"  LOC = "AA6"  ;
202 NET "ser_t[11]"  LOC = "Y6"  ;
203 NET "ser_t[12]"  LOC = "W8"  ;
204 NET "ser_t[13]"  LOC = "V8"  ;
205 NET "ser_t[14]"  LOC = "AB8"  ;
206 NET "ser_t[15]"  LOC = "AA8"  ;
207 NET "ser_t[1]"  LOC = "V10"  ;
208 NET "ser_t[2]"  LOC = "AB4"  ;
209 NET "ser_t[3]"  LOC = "AA4"  ;
210 NET "ser_t[4]"  LOC = "Y5"  ;
211 NET "ser_t[5]"  LOC = "W5"  ;
212 NET "ser_t[6]"  LOC = "AB5"  ;
213 NET "ser_t[7]"  LOC = "AA5"  ;
214 NET "ser_t[8]"  LOC = "W6"  ;
215 NET "ser_t[9]"  LOC = "V6"  ;
216 NET "clk_muxed" TNM_NET = "clk_muxed";
217 TIMESPEC "TS_clk_muxed" = PERIOD "clk_muxed" 10 ns HIGH 50 %;
218 NET "clk_to_mac" TNM_NET = "clk_to_mac";
219 TIMESPEC "TS_clk_to_mac" = PERIOD "clk_to_mac" 8 ns HIGH 50 %;
220 NET "cpld_clk" TNM_NET = "cpld_clk";
221 TIMESPEC "TS_cpld_clk" = PERIOD "cpld_clk" 40 ns HIGH 50 %;
222 NET "GMII_RX_CLK" TNM_NET = "GMII_RX_CLK";
223 TIMESPEC "TS_GMII_RX_CLK" = PERIOD "GMII_RX_CLK" 8 ns HIGH 50 %;
224 NET "ser_rx_clk" TNM_NET = "ser_rx_clk";
225 TIMESPEC "TS_ser_rx_clk" = PERIOD "ser_rx_clk" 10 ns HIGH 50 %;
226 #PACE: Start of Constraints generated by PACE
227
228 #PACE: Start of PACE I/O Pin Assignments
229 NET "adc_oen_a"  LOC = "E19"  ; 
230 NET "adc_oen_b"  LOC = "C17"  ; 
231 NET "adc_ovf_a"  LOC = "F18"  ; 
232 NET "adc_ovf_b"  LOC = "B17"  ; 
233 NET "adc_pdn_a"  LOC = "E20"  ; 
234 NET "adc_pdn_b"  LOC = "D15"  ; 
235 NET "clk_fpga_n"  LOC = "B11"  ; 
236 NET "clk_fpga_p"  LOC = "A11"  ; 
237 NET "clk_func"  LOC = "C12"  ; 
238 NET "clk_status"  LOC = "B12"  ; 
239 NET "clk_to_mac"  LOC = "AB12"  ; 
240 NET "cpld_clk"  LOC = "AB14"  ; 
241 NET "cpld_din"  LOC = "AA14"  ; 
242 NET "cpld_done"  LOC = "V12"  ; 
243 NET "cpld_mode"  LOC = "U12"  ; 
244 NET "cpld_start"  LOC = "AA9"  ; 
245 NET "exp_pps_in_n"  LOC = "V4"  ; 
246 NET "exp_pps_in_p"  LOC = "V3"  ; 
247 NET "exp_pps_out_n"  LOC = "V2"  ; 
248 NET "exp_pps_out_p"  LOC = "V1"  ; 
249 NET "GMII_COL"  LOC = "U16"  ; 
250 NET "GMII_CRS"  LOC = "U17"  ; 
251 NET "GMII_GTX_CLK"  LOC = "AA17" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ; 
252 NET "GMII_RX_CLK"  LOC = "W16"  ; 
253 NET "GMII_RX_DV"  LOC = "AB16"  ; 
254 NET "GMII_RX_ER"  LOC = "AA16"  ; 
255 NET "GMII_TX_CLK"  LOC = "W13"  ; 
256 NET "GMII_TX_EN"  LOC = "Y17" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ; 
257 NET "GMII_TX_ER"  LOC = "V16" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ; 
258 NET "GMII_TXD<0>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
259 NET "GMII_TXD<1>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
260 NET "GMII_TXD<2>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
261 NET "GMII_TXD<3>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
262 NET "GMII_TXD<4>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
263 NET "GMII_TXD<5>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
264 NET "GMII_TXD<6>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
265 NET "GMII_TXD<7>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
266 NET "led1"  LOC = "V11"  ; 
267 NET "led2"  LOC = "Y12"  ; 
268 NET "MDC"  LOC = "V18"  ; 
269 NET "MDIO"  LOC = "Y16" | PULLUP ; 
270 NET "PHY_CLK"  LOC = "V15"  ; 
271 NET "PHY_INTn"  LOC = "AB13"  ; 
272 NET "PHY_RESETn"  LOC = "AA19"  ; 
273 NET "pps_in"  LOC = "Y11"  ; 
274 NET "RAM_CE1n"  LOC = "N21"  ; 
275 NET "RAM_CENn"  LOC = "M18"  ; 
276 NET "RAM_CLK"  LOC = "M17"  ; 
277 NET "RAM_LDn"  LOC = "M21"  ; 
278 NET "RAM_OEn"  LOC = "M19"  ; 
279 NET "RAM_WEn"  LOC = "M20"  ; 
280 NET "SCL"  LOC = "A7"  ; 
281 NET "SCL_force"  LOC = "E8"  ; 
282 NET "sclk"  LOC = "K5"  ; 
283 NET "sclk_rx_adc"  LOC = "J17"  ; 
284 NET "sclk_rx_dac"  LOC = "J19"  ; 
285 NET "sclk_rx_db"  LOC = "F19"  ; 
286 NET "sclk_tx_adc"  LOC = "H1"  ; 
287 NET "sclk_tx_dac"  LOC = "J5"  ; 
288 NET "sclk_tx_db"  LOC = "D3"  ; 
289 NET "SDA"  LOC = "D8"  ; 
290 NET "SDA_force"  LOC = "C11"  ; 
291 NET "sdi"  LOC = "J1"  ; 
292 NET "sdi_rx_adc"  LOC = "H22"  ; 
293 NET "sdi_rx_dac"  LOC = "J21"  ; 
294 NET "sdi_rx_db"  LOC = "H19"  ; 
295 NET "sdi_tx_adc"  LOC = "J4"  ; 
296 NET "sdi_tx_dac"  LOC = "J6"  ; 
297 NET "sdi_tx_db"  LOC = "G4"  ; 
298 NET "sdo"  LOC = "J2"  ; 
299 NET "sdo_rx_adc"  LOC = "H21"  ; 
300 NET "sdo_rx_db"  LOC = "G20"  ; 
301 NET "sdo_tx_adc"  LOC = "H2"  ; 
302 NET "sdo_tx_db"  LOC = "G3"  ; 
303 NET "sen_clk"  LOC = "K6"  ; 
304 NET "sen_dac"  LOC = "L1"  ; 
305 NET "sen_rx_adc"  LOC = "H18"  ; 
306 NET "sen_rx_dac"  LOC = "J18"  ; 
307 NET "sen_rx_db"  LOC = "D22"  ; 
308 NET "sen_tx_adc"  LOC = "G2"  ; 
309 NET "sen_tx_dac"  LOC = "H4"  ; 
310 NET "sen_tx_db"  LOC = "C1"  ; 
311 NET "ser_enable"  LOC = "W11"  ; 
312 NET "ser_loopen"  LOC = "Y4"  ; 
313 NET "ser_prbsen"  LOC = "AA3"  ; 
314 NET "ser_rklsb"  LOC = "V9"  ; 
315 NET "ser_rkmsb"  LOC = "Y10"  ; 
316 NET "ser_rx_clk"  LOC = "AA11"  ; 
317 NET "ser_rx_en"  LOC = "AB9"  ; 
318 NET "ser_tklsb"  LOC = "U10" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ; 
319 NET "ser_tkmsb"  LOC = "U11" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ; 
320 NET "ser_tx_clk"  LOC = "U7" | IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ; 
321 NET "ser_t<0>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
322 NET "ser_t<1>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
323 NET "ser_t<2>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
324 NET "ser_t<3>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
325 NET "ser_t<4>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
326 NET "ser_t<5>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
327 NET "ser_t<6>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
328 NET "ser_t<7>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
329 NET "ser_t<8>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
330 NET "ser_t<9>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
331 NET "ser_t<10>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
332 NET "ser_t<11>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
333 NET "ser_t<12>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
334 NET "ser_t<13>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
335 NET "ser_t<14>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
336 NET "ser_t<15>" IOSTANDARD = LVCMOS25  | DRIVE = 12  | SLEW = FAST ;
337 #PACE: Start of PACE Area Constraints
338
339 #PACE: Start of PACE Prohibit Constraints
340
341 #PACE: End of Constraints generated by PACE