59937ea86d11c7240170d3d51691747134c1c087
[debian/gnuradio] / usrp2 / fpga / top / u2_core / u2_core.v
1 // ////////////////////////////////////////////////////////////////////////////////
2 // Module Name:    u2_core
3 // ////////////////////////////////////////////////////////////////////////////////
4
5 module u2_core
6   #(parameter RAM_SIZE=16384)
7   (// Clocks
8    input dsp_clk,
9    input wb_clk,
10    output clock_ready,
11    input clk_to_mac,
12    input pps_in,
13    
14    // Misc, debug
15    output [7:0] leds,
16    output [31:0] debug,
17    output [1:0] debug_clk,
18
19    // Expansion
20    input exp_pps_in,
21    output exp_pps_out,
22    
23    // GMII
24    //   GMII-CTRL
25    input GMII_COL,
26    input GMII_CRS,
27
28    //   GMII-TX
29    output [7:0] GMII_TXD,
30    output GMII_TX_EN,
31    output GMII_TX_ER,
32    output GMII_GTX_CLK,
33    input GMII_TX_CLK,  // 100mbps clk
34
35    //   GMII-RX
36    input [7:0] GMII_RXD,
37    input GMII_RX_CLK,
38    input GMII_RX_DV,
39    input GMII_RX_ER,
40
41    //   GMII-Management
42    inout MDIO,
43    output MDC,
44    input PHY_INTn,   // open drain
45    output PHY_RESETn,
46
47    // SERDES
48    output ser_enable,
49    output ser_prbsen,
50    output ser_loopen,
51    output ser_rx_en,
52    
53    output ser_tx_clk,
54    output [15:0] ser_t,
55    output ser_tklsb,
56    output ser_tkmsb,
57
58    input ser_rx_clk,
59    input [15:0] ser_r,
60    input ser_rklsb,
61    input ser_rkmsb,
62    
63    // CPLD interface
64    output cpld_start,
65    output cpld_mode,
66    output cpld_done,
67    input cpld_din,
68    input cpld_clk,
69    input cpld_detached,
70    input cpld_misc,
71    input cpld_init_b,
72    input por,
73    output config_success,
74    
75    // ADC
76    input [13:0] adc_a,
77    input adc_ovf_a,
78    output adc_on_a,
79    output adc_oe_a,
80    
81    input [13:0] adc_b,
82    input adc_ovf_b,
83    output adc_on_b,
84    output adc_oe_b,
85    
86    // DAC
87    output [15:0] dac_a,
88    output [15:0] dac_b,
89
90    // I2C
91    input scl_pad_i,
92    output scl_pad_o,
93    output scl_pad_oen_o,
94    input sda_pad_i,
95    output sda_pad_o,
96    output sda_pad_oen_o,
97    
98    // Clock Gen Control
99    output [1:0] clk_en,
100    output [1:0] clk_sel,
101    input clk_func,        // FIXME is an input to control the 9510
102    input clk_status,
103
104    // Generic SPI
105    output sclk,
106    output mosi,
107    input miso,
108    output sen_clk,
109    output sen_dac,
110    output sen_tx_db,
111    output sen_tx_adc,
112    output sen_tx_dac,
113    output sen_rx_db,
114    output sen_rx_adc,
115    output sen_rx_dac,
116    
117    // GPIO to DBoards
118    inout [15:0] io_tx,
119    inout [15:0] io_rx,
120
121    // External RAM
122    inout [17:0] RAM_D,
123    output [18:0] RAM_A,
124    output RAM_CE1n,
125    output RAM_CENn,
126    input RAM_CLK,
127    output RAM_WEn,
128    output RAM_OEn,
129    output RAM_LDn,
130    
131    // Debug stuff
132    output uart_tx_o, 
133    input uart_rx_i,
134    output uart_baud_o,
135    input sim_mode,
136    input [3:0] clock_divider
137    );
138    
139    wire [7:0]   set_addr;
140    wire [31:0]  set_data;
141    wire         set_stb;
142    
143    wire         ram_loader_done;
144    wire         ram_loader_rst, wb_rst, dsp_rst;
145
146    wire [31:0]  status, status_b0, status_b1, status_b2, status_b3, status_b4, status_b5, status_b6, status_b7;
147    wire         bus_error, spi_int, i2c_int, pps_int, timer_int, buffer_int, proc_int, overrun, underrun, uart_tx_int, uart_rx_int;
148
149    wire [31:0]  debug_gpio_0, debug_gpio_1;
150    wire [31:0]  atr_lines;
151
152    wire [31:0]  debug_rx, debug_mac0, debug_mac1, debug_tx_dsp, debug_txc, 
153                 debug_serdes0, debug_serdes1, debug_serdes2, debug_rx_dsp;
154
155    wire [15:0]  ser_rx_occ, ser_tx_occ, dsp_rx_occ, dsp_tx_occ, eth_rx_occ, eth_tx_occ, eth_rx_occ2;
156    wire         ser_rx_full, ser_tx_full, dsp_rx_full, dsp_tx_full, eth_rx_full, eth_tx_full, eth_rx_full2;
157    wire         ser_rx_empty, ser_tx_empty, dsp_rx_empty, dsp_tx_empty, eth_rx_empty, eth_tx_empty, eth_rx_empty2;
158         
159    // ///////////////////////////////////////////////////////////////////////////////////////////////
160    // Wishbone Single Master INTERCON
161    parameter    dw = 32;  // Data bus width
162    parameter    aw = 16;  // Address bus width, for byte addressibility, 16 = 64K byte memory space
163    parameter    sw = 4;   // Select width -- 32-bit data bus with 8-bit granularity.  
164    
165    wire [dw-1:0] m0_dat_o, m0_dat_i;
166    wire [dw-1:0] s0_dat_o, s1_dat_o, s0_dat_i, s1_dat_i, s2_dat_o, s3_dat_o, s2_dat_i, s3_dat_i,
167                  s4_dat_o, s5_dat_o, s4_dat_i, s5_dat_i, s6_dat_o, s7_dat_o, s6_dat_i, s7_dat_i,
168                  s8_dat_o, s9_dat_o, s8_dat_i, s9_dat_i, s10_dat_o, s10_dat_i, s11_dat_i, s11_dat_o,
169                  s12_dat_i, s12_dat_o, s13_dat_i, s13_dat_o;
170    wire [aw-1:0] m0_adr,s0_adr,s1_adr,s2_adr,s3_adr,s4_adr,s5_adr,s6_adr,s7_adr,s8_adr,s9_adr,s10_adr,s11_adr,s12_adr, s13_adr;
171    wire [sw-1:0] m0_sel,s0_sel,s1_sel,s2_sel,s3_sel,s4_sel,s5_sel,s6_sel,s7_sel,s8_sel,s9_sel,s10_sel,s11_sel,s12_sel, s13_sel;
172    wire          m0_ack,s0_ack,s1_ack,s2_ack,s3_ack,s4_ack,s5_ack,s6_ack,s7_ack,s8_ack,s9_ack,s10_ack,s11_ack,s12_ack, s13_ack;
173    wire          m0_stb,s0_stb,s1_stb,s2_stb,s3_stb,s4_stb,s5_stb,s6_stb,s7_stb,s8_stb,s9_stb,s10_stb,s11_stb,s12_stb, s13_stb;
174    wire          m0_cyc,s0_cyc,s1_cyc,s2_cyc,s3_cyc,s4_cyc,s5_cyc,s6_cyc,s7_cyc,s8_cyc,s9_cyc,s10_cyc,s11_cyc,s12_cyc, s13_cyc;
175    wire          m0_err,s0_err,s1_err,s2_err,s3_err,s4_err,s5_err,s6_err,s7_err,s8_err,s9_err,s10_err,s11_err,s12_err, s13_err;
176    wire          m0_rty,s0_rty,s1_rty,s2_rty,s3_rty,s4_rty,s5_rty,s6_rty,s7_rty,s8_rty,s9_rty,s10_rty,s11_rty,s12_rty, s13_rty;
177    wire          m0_we,s0_we,s1_we,s2_we,s3_we,s4_we,s5_we,s6_we,s7_we,s8_we,s9_we,s10_we,s11_we,s12_we,s13_we;
178    
179    wb_1master #(.s0_addr_w(1),.s0_addr(1'b0),.s1_addr_w(2),.s1_addr(2'b10),
180                 .s215_addr_w(6),.s2_addr(6'b1100_00),.s3_addr(6'b1100_01),.s4_addr(6'b1100_10),
181                 .s5_addr(6'b1100_11),.s6_addr(6'b1101_00),.s7_addr(6'b1101_01),.s8_addr(6'b1101_10),
182                 .s9_addr(6'b1101_11),.s10_addr(6'b1110_00),.s11_addr(6'b1110_01),.s12_addr(6'b1110_10),
183                 .s13_addr(6'b1110_11),.s14_addr(6'b1111_00),.s15_addr(6'b1111_01),
184                 .dw(dw),.aw(aw),.sw(sw)) wb_1master
185      (.clk_i(wb_clk),.rst_i(wb_rst),       
186       .m0_dat_o(m0_dat_o),.m0_ack_o(m0_ack),.m0_err_o(m0_err),.m0_rty_o(m0_rty),.m0_dat_i(m0_dat_i),
187       .m0_adr_i(m0_adr),.m0_sel_i(m0_sel),.m0_we_i(m0_we),.m0_cyc_i(m0_cyc),.m0_stb_i(m0_stb),
188       .s0_dat_o(s0_dat_o),.s0_adr_o(s0_adr),.s0_sel_o(s0_sel),.s0_we_o  (s0_we),.s0_cyc_o(s0_cyc),.s0_stb_o(s0_stb),
189       .s0_dat_i(s0_dat_i),.s0_ack_i(s0_ack),.s0_err_i(s0_err),.s0_rty_i(s0_rty),
190       .s1_dat_o(s1_dat_o),.s1_adr_o(s1_adr),.s1_sel_o(s1_sel),.s1_we_o  (s1_we),.s1_cyc_o(s1_cyc),.s1_stb_o(s1_stb),
191       .s1_dat_i(s1_dat_i),.s1_ack_i(s1_ack),.s1_err_i(s1_err),.s1_rty_i(s1_rty),
192       .s2_dat_o(s2_dat_o),.s2_adr_o(s2_adr),.s2_sel_o(s2_sel),.s2_we_o  (s2_we),.s2_cyc_o(s2_cyc),.s2_stb_o(s2_stb),
193       .s2_dat_i(s2_dat_i),.s2_ack_i(s2_ack),.s2_err_i(s2_err),.s2_rty_i(s2_rty),
194       .s3_dat_o(s3_dat_o),.s3_adr_o(s3_adr),.s3_sel_o(s3_sel),.s3_we_o  (s3_we),.s3_cyc_o(s3_cyc),.s3_stb_o(s3_stb),
195       .s3_dat_i(s3_dat_i),.s3_ack_i(s3_ack),.s3_err_i(s3_err),.s3_rty_i(s3_rty),
196       .s4_dat_o(s4_dat_o),.s4_adr_o(s4_adr),.s4_sel_o(s4_sel),.s4_we_o  (s4_we),.s4_cyc_o(s4_cyc),.s4_stb_o(s4_stb),
197       .s4_dat_i(s4_dat_i),.s4_ack_i(s4_ack),.s4_err_i(s4_err),.s4_rty_i(s4_rty),
198       .s5_dat_o(s5_dat_o),.s5_adr_o(s5_adr),.s5_sel_o(s5_sel),.s5_we_o  (s5_we),.s5_cyc_o(s5_cyc),.s5_stb_o(s5_stb),
199       .s5_dat_i(s5_dat_i),.s5_ack_i(s5_ack),.s5_err_i(s5_err),.s5_rty_i(s5_rty),
200       .s6_dat_o(s6_dat_o),.s6_adr_o(s6_adr),.s6_sel_o(s6_sel),.s6_we_o  (s6_we),.s6_cyc_o(s6_cyc),.s6_stb_o(s6_stb),
201       .s6_dat_i(s6_dat_i),.s6_ack_i(s6_ack),.s6_err_i(s6_err),.s6_rty_i(s6_rty),
202       .s7_dat_o(s7_dat_o),.s7_adr_o(s7_adr),.s7_sel_o(s7_sel),.s7_we_o  (s7_we),.s7_cyc_o(s7_cyc),.s7_stb_o(s7_stb),
203       .s7_dat_i(s7_dat_i),.s7_ack_i(s7_ack),.s7_err_i(s7_err),.s7_rty_i(s7_rty),
204       .s8_dat_o(s8_dat_o),.s8_adr_o(s8_adr),.s8_sel_o(s8_sel),.s8_we_o  (s8_we),.s8_cyc_o(s8_cyc),.s8_stb_o(s8_stb),
205       .s8_dat_i(s8_dat_i),.s8_ack_i(s8_ack),.s8_err_i(s8_err),.s8_rty_i(s8_rty),
206       .s9_dat_o(s9_dat_o),.s9_adr_o(s9_adr),.s9_sel_o(s9_sel),.s9_we_o  (s9_we),.s9_cyc_o(s9_cyc),.s9_stb_o(s9_stb),
207       .s9_dat_i(s9_dat_i),.s9_ack_i(s9_ack),.s9_err_i(s9_err),.s9_rty_i(s9_rty),
208       .s10_dat_o(s10_dat_o),.s10_adr_o(s10_adr),.s10_sel_o(s10_sel),.s10_we_o(s10_we),.s10_cyc_o(s10_cyc),.s10_stb_o(s10_stb),
209       .s10_dat_i(s10_dat_i),.s10_ack_i(s10_ack),.s10_err_i(s10_err),.s10_rty_i(s10_rty),
210       .s11_dat_o(s11_dat_o),.s11_adr_o(s11_adr),.s11_sel_o(s11_sel),.s11_we_o(s11_we),.s11_cyc_o(s11_cyc),.s11_stb_o(s11_stb),
211       .s11_dat_i(s11_dat_i),.s11_ack_i(s11_ack),.s11_err_i(s11_err),.s11_rty_i(s11_rty),
212       .s12_dat_o(s12_dat_o),.s12_adr_o(s12_adr),.s12_sel_o(s12_sel),.s12_we_o(s12_we),.s12_cyc_o(s12_cyc),.s12_stb_o(s12_stb),
213       .s12_dat_i(s12_dat_i),.s12_ack_i(s12_ack),.s12_err_i(s12_err),.s12_rty_i(s12_rty),
214       .s13_dat_o(s13_dat_o),.s13_adr_o(s13_adr),.s13_sel_o(s13_sel),.s13_we_o(s13_we),.s13_cyc_o(s13_cyc),.s13_stb_o(s13_stb),
215       .s13_dat_i(s13_dat_i),.s13_ack_i(s13_ack),.s13_err_i(s13_err),.s13_rty_i(s13_rty),
216       .s14_dat_i(0),.s14_ack_i(0),.s14_err_i(0),.s14_rty_i(0),
217       .s15_dat_i(0),.s15_ack_i(0),.s15_err_i(0),.s15_rty_i(0)  );
218    
219    //////////////////////////////////////////////////////////////////////////////////////////
220    // Reset Controller
221    system_control sysctrl (.wb_clk_i(wb_clk), // .por_i(por),
222                            .ram_loader_rst_o(ram_loader_rst),
223                            .wb_rst_o(wb_rst),
224                            .ram_loader_done_i(ram_loader_done));
225
226    assign        config_success = ram_loader_done;
227    
228    // ///////////////////////////////////////////////////////////////////
229    // RAM Loader
230
231    wire [31:0]   ram_loader_dat, iwb_dat;
232    wire [15:0]   ram_loader_adr, iwb_adr;
233    wire [3:0]    ram_loader_sel;
234    wire          ram_loader_stb, ram_loader_we, ram_loader_ack;
235    wire          iwb_ack, iwb_stb;
236    ram_loader #(.AWIDTH(16),.RAM_SIZE(RAM_SIZE))
237      ram_loader (.clk_i(wb_clk),.rst_i(ram_loader_rst),
238                  // CPLD Interface
239                  .cfg_clk_i(cpld_clk),
240                  .cfg_data_i(cpld_din),
241                  .start_o(cpld_start),
242                  .mode_o(cpld_mode),
243                  .done_o(cpld_done),
244                  .detached_i(cpld_detached),
245                  // Wishbone Interface
246                  .wb_dat_o(ram_loader_dat),.wb_adr_o(ram_loader_adr),
247                  .wb_stb_o(ram_loader_stb),.wb_cyc_o(),.wb_sel_o(ram_loader_sel),
248                  .wb_we_o(ram_loader_we),.wb_ack_i(ram_loader_ack),
249                  .ram_loader_done_o(ram_loader_done));
250
251    // Processor
252    aeMB_core_BE #(.ISIZ(16),.DSIZ(16),.MUL(0),.BSF(1))
253      aeMB (.sys_clk_i(wb_clk), .sys_rst_i(wb_rst),
254            // Instruction Wishbone bus to I-RAM
255            .iwb_stb_o(iwb_stb),.iwb_adr_o(iwb_adr),
256            .iwb_dat_i(iwb_dat),.iwb_ack_i(iwb_ack),
257            // Data Wishbone bus to system bus fabric
258            .dwb_we_o(m0_we),.dwb_stb_o(m0_stb),.dwb_dat_o(m0_dat_i),.dwb_adr_o(m0_adr),
259            .dwb_dat_i(m0_dat_o),.dwb_ack_i(m0_ack),.dwb_sel_o(m0_sel),.dwb_cyc_o(m0_cyc),
260            // Interrupts and exceptions
261            .sys_int_i(proc_int),.sys_exc_i(bus_error) );
262    
263    assign        bus_error = m0_err | m0_rty;
264    
265    // Dual Ported RAM -- D-Port is Slave #0 on main Wishbone
266    // I-port connects directly to processor and ram loader
267
268    ram_harv_cache #(.AWIDTH(15),.RAM_SIZE(RAM_SIZE),.ICWIDTH(7),.DCWIDTH(6))
269      sys_ram(.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),
270              
271              .ram_loader_adr_i(ram_loader_adr[14:0]), .ram_loader_dat_i(ram_loader_dat),
272              .ram_loader_stb_i(ram_loader_stb), .ram_loader_sel_i(ram_loader_sel),
273              .ram_loader_we_i(ram_loader_we), .ram_loader_ack_o(ram_loader_ack),
274              .ram_loader_done_i(ram_loader_done),
275              
276              .iwb_adr_i(iwb_adr[14:0]), .iwb_stb_i(iwb_stb),
277              .iwb_dat_o(iwb_dat), .iwb_ack_o(iwb_ack),
278              
279              .dwb_adr_i(s0_adr[14:0]), .dwb_dat_i(s0_dat_o), .dwb_dat_o(s0_dat_i),
280              .dwb_we_i(s0_we), .dwb_ack_o(s0_ack), .dwb_stb_i(s0_stb), .dwb_sel_i(s0_sel));
281    
282    assign        s0_err = 1'b0;
283    assign        s0_rty = 1'b0;
284
285    // Buffer Pool, slave #1
286    wire          rd0_read, rd0_sop, rd0_error, rd0_done, rd0_eop;
287    wire          rd1_read, rd1_sop, rd1_error, rd1_done, rd1_eop;
288    wire          rd2_read, rd2_sop, rd2_error, rd2_done, rd2_eop;
289    wire          rd3_read, rd3_sop, rd3_error, rd3_done, rd3_eop;
290    wire [31:0]   rd0_dat, rd1_dat, rd2_dat, rd3_dat;
291
292    wire          wr0_write, wr0_done, wr0_error, wr0_ready, wr0_full;
293    wire          wr1_write, wr1_done, wr1_error, wr1_ready, wr1_full;
294    wire          wr2_write, wr2_done, wr2_error, wr2_ready, wr2_full;
295    wire          wr3_write, wr3_done, wr3_error, wr3_ready, wr3_full;
296    wire [31:0]   wr0_dat, wr1_dat, wr2_dat, wr3_dat;
297    
298    buffer_pool buffer_pool
299      (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),
300       .wb_we_i(s1_we),.wb_stb_i(s1_stb),.wb_adr_i(s1_adr),.wb_dat_i(s1_dat_o),   
301       .wb_dat_o(s1_dat_i),.wb_ack_o(s1_ack),.wb_err_o(s1_err),.wb_rty_o(s1_rty),
302    
303       .stream_clk(dsp_clk), .stream_rst(dsp_rst),
304       .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
305       .status(status),.sys_int_o(buffer_int),
306
307       .s0(status_b0),.s1(status_b1),.s2(status_b2),.s3(status_b3),
308       .s4(status_b4),.s5(status_b5),.s6(status_b6),.s7(status_b7),
309       
310       // Write Interfaces
311       .wr0_dat_i(wr0_dat), .wr0_write_i(wr0_write), .wr0_done_i(wr0_done),
312       .wr0_error_i(wr0_error), .wr0_ready_o(wr0_ready), .wr0_full_o(wr0_full),
313       .wr1_dat_i(wr1_dat), .wr1_write_i(wr1_write), .wr1_done_i(wr1_done),
314       .wr1_error_i(wr1_error), .wr1_ready_o(wr1_ready), .wr1_full_o(wr1_full),
315       .wr2_dat_i(wr2_dat), .wr2_write_i(wr2_write), .wr2_done_i(wr2_done),
316       .wr2_error_i(wr2_error), .wr2_ready_o(wr2_ready), .wr2_full_o(wr2_full),
317       .wr3_dat_i(wr3_dat), .wr3_write_i(wr3_write), .wr3_done_i(wr3_done),
318       .wr3_error_i(wr3_error), .wr3_ready_o(wr3_ready), .wr3_full_o(wr3_full),
319       // Read Interfaces
320       .rd0_dat_o(rd0_dat), .rd0_read_i(rd0_read), .rd0_done_i(rd0_done),
321       .rd0_error_i(rd0_error), .rd0_sop_o(rd0_sop), .rd0_eop_o(rd0_eop),
322       .rd1_dat_o(rd1_dat), .rd1_read_i(rd1_read), .rd1_done_i(rd1_done),
323       .rd1_error_i(rd1_error), .rd1_sop_o(rd1_sop), .rd1_eop_o(rd1_eop),
324       .rd2_dat_o(rd2_dat), .rd2_read_i(rd2_read), .rd2_done_i(rd2_done),
325       .rd2_error_i(rd2_error), .rd2_sop_o(rd2_sop), .rd2_eop_o(rd2_eop),
326       .rd3_dat_o(rd3_dat), .rd3_read_i(rd3_read), .rd3_done_i(rd3_done),
327       .rd3_error_i(rd3_error), .rd3_sop_o(rd3_sop), .rd3_eop_o(rd3_eop)
328       );
329
330    // SPI -- Slave #2
331    spi_top shared_spi
332      (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),.wb_adr_i(s2_adr[4:0]),.wb_dat_i(s2_dat_o),
333       .wb_dat_o(s2_dat_i),.wb_sel_i(s2_sel),.wb_we_i(s2_we),.wb_stb_i(s2_stb),
334       .wb_cyc_i(s2_cyc),.wb_ack_o(s2_ack),.wb_err_o(s2_err),.wb_int_o(spi_int),
335       .ss_pad_o({sen_tx_db,sen_tx_adc,sen_tx_dac,sen_rx_db,sen_rx_adc,sen_rx_dac,sen_dac,sen_clk}),
336       .sclk_pad_o(sclk),.mosi_pad_o(mosi),.miso_pad_i(miso) );
337
338    assign        s2_rty = 1'b0;
339    
340    // I2C -- Slave #3
341    i2c_master_top #(.ARST_LVL(1)) 
342      i2c (.wb_clk_i(wb_clk),.wb_rst_i(wb_rst),.arst_i(1'b0), 
343           .wb_adr_i(s3_adr[4:2]),.wb_dat_i(s3_dat_o[7:0]),.wb_dat_o(s3_dat_i[7:0]),
344           .wb_we_i(s3_we),.wb_stb_i(s3_stb),.wb_cyc_i(s3_cyc),
345           .wb_ack_o(s3_ack),.wb_inta_o(i2c_int),
346           .scl_pad_i(scl_pad_i),.scl_pad_o(scl_pad_o),.scl_padoen_o(scl_pad_oen_o),
347           .sda_pad_i(sda_pad_i),.sda_pad_o(sda_pad_o),.sda_padoen_o(sda_pad_oen_o) );
348
349    assign        s3_dat_i[31:8] = 24'd0;
350    assign        s3_err = 1'b0;
351    assign        s3_rty = 1'b0;
352    
353    // GPIOs -- Slave #4
354    nsgpio nsgpio(.clk_i(wb_clk),.rst_i(wb_rst),
355                  .cyc_i(s4_cyc),.stb_i(s4_stb),.adr_i(s4_adr[3:0]),.we_i(s4_we),
356                  .dat_i(s4_dat_o),.dat_o(s4_dat_i),.ack_o(s4_ack),
357                  .atr(atr_lines),.debug_0(debug_gpio_0),.debug_1(debug_gpio_1),
358                  .gpio( {io_tx,io_rx} ) );
359    assign        s4_err = 1'b0;
360    assign        s4_rty = 1'b0;
361
362    // Buffer Pool Status -- Slave #5
363    wb_readback_mux buff_pool_status
364      (.wb_clk_i(wb_clk),
365       .wb_rst_i(wb_rst),
366       .wb_stb_i(s5_stb),
367       .wb_adr_i(s5_adr),
368       .wb_dat_o(s5_dat_i),
369       .wb_ack_o(s5_ack),
370       
371       .word00(status_b0),.word01(status_b1),.word02(status_b2),.word03(status_b3),
372       .word04(status_b4),.word05(status_b5),.word06(status_b6),.word07(status_b7),
373       .word08(status),.word09({sim_mode,27'b0,clock_divider[3:0]}),.word10({30'b0,clk_func,clk_status}),
374       .word11(32'b0),.word12(32'b0),.word13(32'b0),.word14(32'b0),.word15(32'b0)
375       );
376
377    assign        s5_err = 1'b0;
378    assign        s5_rty = 1'b0;
379
380    // Slave, #6 Ethernet MAC, see below
381    
382    // Settings Bus -- Slave #7
383    settings_bus settings_bus
384      (.wb_clk(wb_clk),.wb_rst(wb_rst),.wb_adr_i(s7_adr),.wb_dat_i(s7_dat_o),
385       .wb_stb_i(s7_stb),.wb_we_i(s7_we),.wb_ack_o(s7_ack),
386       .sys_clk(dsp_clk),.strobe(set_stb),.addr(set_addr),.data(set_data));
387    
388    assign        s7_err = 1'b0;
389    assign        s7_rty = 1'b0;
390    assign        s7_dat_i = 32'd0;
391
392    // Output control lines
393    wire [7:0]    clock_outs, serdes_outs, adc_outs;
394    assign        {clock_ready, clk_en[1:0], clk_sel[1:0]} = clock_outs[4:0];
395    assign        {ser_enable, ser_prbsen, ser_loopen, ser_rx_en} = serdes_outs[3:0];
396    assign        {adc_oe_a, adc_on_a, adc_oe_b, adc_on_b } = adc_outs[3:0];
397
398    wire          phy_reset;
399    assign        PHY_RESETn = ~phy_reset;
400    
401    setting_reg #(.my_addr(0)) sr_clk (.clk(wb_clk),.rst(wb_rst),.strobe(s7_ack),.addr(set_addr),
402                                       .in(set_data),.out(clock_outs),.changed());
403    setting_reg #(.my_addr(1)) sr_ser (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr),
404                                       .in(set_data),.out(serdes_outs),.changed());
405    setting_reg #(.my_addr(2)) sr_adc (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr),
406                                       .in(set_data),.out(adc_outs),.changed());
407    setting_reg #(.my_addr(3)) sr_led (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr),
408                                       .in(set_data),.out(leds),.changed());
409    setting_reg #(.my_addr(4)) sr_phy (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr),
410                                       .in(set_data),.out(phy_reset),.changed());
411
412    // /////////////////////////////////////////////////////////////////////////
413    // Ethernet MAC  Slave #6
414    
415    wire          Tx_mac_wa, Tx_mac_wr, Tx_mac_sop, Tx_mac_eop;
416    wire          Rx_mac_empty, Rx_mac_rd, Rx_mac_sop, Rx_mac_eop, Rx_mac_err;
417    wire [31:0]   Tx_mac_data, Rx_mac_data;
418    wire [1:0]    Tx_mac_BE, Rx_mac_BE;
419    wire          rst_mac;
420   
421    oneshot_2clk mac_rst_1shot (.clk_in(wb_clk),.in(wb_rst),.clk_out(clk_to_mac),.out(rst_mac));
422    
423    MAC_top #(.TX_FF_DEPTH(9), .RX_FF_DEPTH(11))
424      MAC_top
425        (.Clk_125M(clk_to_mac),.Clk_user(dsp_clk),
426         .rst_mac(rst_mac),.rst_user(dsp_rst),
427         .RST_I(wb_rst),.CLK_I(wb_clk),.STB_I(s6_stb),.CYC_I(s6_cyc),.ADR_I(s6_adr[8:2]),
428         .WE_I(s6_we),.DAT_I(s6_dat_o),.DAT_O(s6_dat_i),.ACK_O(s6_ack),
429         .Rx_mac_empty(Rx_mac_empty),.Rx_mac_rd(Rx_mac_rd),.Rx_mac_data(Rx_mac_data),.Rx_mac_BE(Rx_mac_BE),
430         .Rx_mac_sop(Rx_mac_sop),.Rx_mac_eop(Rx_mac_eop),.Rx_mac_err(Rx_mac_err),
431         .Tx_mac_wa(Tx_mac_wa),.Tx_mac_wr(Tx_mac_wr),.Tx_mac_data(Tx_mac_data),
432         .Tx_mac_BE(Tx_mac_BE),.Tx_mac_sop(Tx_mac_sop),.Tx_mac_eop(Tx_mac_eop),
433         .Gtx_clk(GMII_GTX_CLK),.Tx_clk(GMII_TX_CLK),.Tx_er(GMII_TX_ER),.Tx_en(GMII_TX_EN),.Txd(GMII_TXD),
434         .Rx_clk(GMII_RX_CLK),.Rx_er(GMII_RX_ER),.Rx_dv(GMII_RX_DV),.Rxd(GMII_RXD),
435         .Crs(GMII_CRS),.Col(GMII_COL),
436         .Mdio(MDIO),.Mdc(MDC),
437         .rx_fifo_occupied(eth_rx_occ2),.rx_fifo_full(eth_rx_full2),.rx_fifo_empty(eth_rx_empty2),
438         .tx_fifo_occupied(),.tx_fifo_full(),.tx_fifo_empty(),
439         .debug0(debug_mac0),.debug1(debug_mac1) );
440
441    assign        s6_err = 1'b0;
442    assign        s6_rty = 1'b0;
443
444    mac_rxfifo_int mac_rxfifo_int
445      (.clk(dsp_clk),.rst(dsp_rst),
446       .Rx_mac_empty(Rx_mac_empty),.Rx_mac_rd(Rx_mac_rd),.Rx_mac_data(Rx_mac_data),
447       .Rx_mac_BE(Rx_mac_BE),.Rx_mac_sop(Rx_mac_sop),
448       .Rx_mac_eop(Rx_mac_eop),.Rx_mac_err(Rx_mac_err),
449       .wr_dat_o(wr2_dat),.wr_write_o(wr2_write),.wr_done_o(wr2_done),
450       .wr_error_o(wr2_error),.wr_ready_i(wr2_ready),.wr_full_i(wr2_full),
451       .fifo_occupied(eth_rx_occ),.fifo_full(eth_rx_full),.fifo_empty(eth_rx_empty) );
452
453    mac_txfifo_int mac_txfifo_int
454      (.clk(dsp_clk),.rst(dsp_rst),.mac_clk(clk_to_mac),
455       .Tx_mac_wa(Tx_mac_wa),.Tx_mac_wr(Tx_mac_wr),.Tx_mac_data(Tx_mac_data),
456       .Tx_mac_BE(Tx_mac_BE),.Tx_mac_sop(Tx_mac_sop),.Tx_mac_eop(Tx_mac_eop),
457       .rd_dat_i(rd2_dat),.rd_read_o(rd2_read),.rd_done_o(rd2_done),
458       .rd_error_o(rd2_error),.rd_sop_i(rd2_sop),.rd_eop_i(rd2_eop),
459       .fifo_occupied(eth_tx_occ),.fifo_full(eth_tx_full),.fifo_empty(eth_tx_empty) );
460    
461    // /////////////////////////////////////////////////////////////////////////
462    // Interrupt Controller, Slave #8
463
464    wire [8:0]    irq={{6'b0,uart_tx_int, uart_rx_int},
465                       {pps_int,overrun,underrun,PHY_INTn,i2c_int,spi_int,timer_int,buffer_int}};
466    
467    simple_pic #(.is(9),.dwidth(32)) simple_pic
468      (.clk_i(wb_clk),.rst_i(wb_rst),.cyc_i(s8_cyc),.stb_i(s8_stb),.adr_i(s8_adr[3:2]),
469       .we_i(s8_we),.dat_i(s8_dat_o),.dat_o(s8_dat_i),.ack_o(s8_ack),.int_o(proc_int),
470       .irq(irq) );
471    assign        s8_err = 0;
472    assign        s8_rty = 0;
473          
474    // /////////////////////////////////////////////////////////////////////////
475    // Master Timer, Slave #9
476
477    wire [31:0]   master_time;
478    timer timer
479      (.wb_clk_i(wb_clk),.rst_i(wb_rst),
480       .cyc_i(s9_cyc),.stb_i(s9_stb),.adr_i(s9_adr[4:2]),
481       .we_i(s9_we),.dat_i(s9_dat_o),.dat_o(s9_dat_i),.ack_o(s9_ack),
482       .sys_clk_i(dsp_clk),.master_time_i(master_time),.int_o(timer_int) );
483    assign        s9_err = 0;
484    assign        s9_rty = 0;
485
486    // /////////////////////////////////////////////////////////////////////////
487    // UART, Slave #10
488
489    simple_uart #(.TXDEPTH(3),.RXDEPTH(3)) uart  // depth of 3 is 128 entries
490      (.clk_i(wb_clk),.rst_i(wb_rst),
491       .we_i(s10_we),.stb_i(s10_stb),.cyc_i(s10_cyc),.ack_o(s10_ack),
492       .adr_i(s10_adr[4:2]),.dat_i(s10_dat_o),.dat_o(s10_dat_i),
493       .rx_int_o(uart_rx_int),.tx_int_o(uart_tx_int),
494       .tx_o(uart_tx_o),.rx_i(uart_rx_i),.baud_o(uart_baud_o));
495    
496    assign        s10_err = 0;
497    assign        s10_rty = 0;
498    
499    // /////////////////////////////////////////////////////////////////////////
500    // ATR Controller, Slave #11
501
502    wire          run_rx, run_tx;
503    reg           run_rx_d1;
504    always @(posedge dsp_clk)
505      run_rx_d1 <= run_rx;
506    
507    atr_controller atr_controller
508      (.clk_i(wb_clk),.rst_i(wb_rst),
509       .adr_i(s11_adr[5:0]),.sel_i(s11_sel),.dat_i(s11_dat_o),.dat_o(s11_dat_i),
510       .we_i(s11_we),.stb_i(s11_stb),.cyc_i(s11_cyc),.ack_o(s11_ack),
511       .run_rx(run_rx_d1),.run_tx(run_tx),.ctrl_lines(atr_lines) );
512    assign        s11_err = 0;
513    assign        s11_rty = 0;
514    
515    // //////////////////////////////////////////////////////////////////////////
516    // Time Sync, Slave #12 
517
518    time_sync time_sync
519      (.wb_clk_i(wb_clk),.rst_i(wb_rst),
520       .cyc_i(s12_cyc),.stb_i(s12_stb),.adr_i(s12_adr[4:2]),
521       .we_i(s12_we),.dat_i(s12_dat_o),.dat_o(s12_dat_i),.ack_o(s12_ack),
522       .sys_clk_i(dsp_clk),.master_time_o(master_time),
523       .pps_in(pps_in),.exp_pps_in(exp_pps_in),.exp_pps_out(exp_pps_out),
524       .int_o(pps_int) );
525    assign        s12_err = 0;
526    assign        s12_rty = 0;
527
528    // /////////////////////////////////////////////////////////////////////////
529    // SD Card Reader / Writer, Slave #13
530
531    sd_spi_wb sd_spi_wb
532      (.clk(wb_clk),.rst(wb_rst),
533       .sd_clk(sd_clk),.sd_csn(sd_csn),.sd_mosi(sd_mosi),.sd_miso(sd_miso),
534       .wb_cyc_i(s13_cyc),.wb_stb_i(s13_stb),.wb_we_i(s13_we),
535       .wb_adr_i(s13_adr[3:2]),.wb_dat_i(s13_dat_o),.wb_dat_o(s13_dat_i),
536       .wb_ack_o(s13_ack) );
537    assign        s13_err = 0;
538    assign        s13_rty = 0;
539    // /////////////////////////////////////////////////////////////////////////
540    // DSP
541    wire [31:0]   sample_rx, sample_tx;
542    wire          strobe_rx, strobe_tx;
543
544    rx_control #(.FIFOSIZE(10)) rx_control
545      (.clk(dsp_clk), .rst(dsp_rst),
546       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
547       .master_time(master_time),.overrun(overrun),
548       .wr_dat_o(wr1_dat), .wr_write_o(wr1_write), .wr_done_o(wr1_done), .wr_error_o(wr1_error),
549       .wr_ready_i(wr1_ready), .wr_full_i(wr1_full),
550       .sample(sample_rx), .run(run_rx), .strobe(strobe_rx),
551       .fifo_occupied(dsp_rx_occ),.fifo_full(dsp_rx_full),.fifo_empty(dsp_rx_empty),
552       .debug_rx(debug_rx) );
553    
554    // dummy_rx dsp_core_rx
555    dsp_core_rx dsp_core_rx
556      (.clk(dsp_clk),.rst(dsp_rst),
557       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
558       .adc_a(adc_a),.adc_ovf_a(adc_ovf_a),.adc_b(adc_b),.adc_ovf_b(adc_ovf_b),
559       .sample(sample_rx), .run(run_rx_d1), .strobe(strobe_rx),
560       .debug(debug_rx_dsp) );
561
562    tx_control #(.FIFOSIZE(10)) tx_control
563      (.clk(dsp_clk), .rst(dsp_rst),
564       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
565       .master_time(master_time),.underrun(underrun),
566       .rd_dat_i(rd1_dat), .rd_sop_i(rd1_sop), .rd_eop_i(rd1_eop),
567       .rd_read_o(rd1_read), .rd_done_o(rd1_done), .rd_error_o(rd1_error),
568       .sample(sample_tx), .run(run_tx), .strobe(strobe_tx),
569       .fifo_occupied(dsp_tx_occ),.fifo_full(dsp_tx_full),.fifo_empty(dsp_tx_empty),
570       .debug(debug_txc) );
571    
572    dsp_core_tx dsp_core_tx
573      (.clk(dsp_clk),.rst(dsp_rst),
574       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
575       .dac_a(dac_a),.dac_b(dac_b),
576       .sample(sample_tx), .run(run_tx), .strobe(strobe_tx), .debug(debug_tx_dsp) );
577
578    assign dsp_rst = wb_rst;
579
580    // ///////////////////////////////////////////////////////////////////////////////////
581    // SERDES
582
583    serdes #(.TXFIFOSIZE(9),.RXFIFOSIZE(9)) serdes
584      (.clk(dsp_clk),.rst(dsp_rst),
585       .ser_tx_clk(ser_tx_clk),.ser_t(ser_t),.ser_tklsb(ser_tklsb),.ser_tkmsb(ser_tkmsb),
586       .rd_dat_i(rd0_dat),.rd_read_o(rd0_read),.rd_done_o(rd0_done),.rd_error_o(rd0_error),
587       .rd_sop_i(rd0_sop),.rd_eop_i(rd0_eop),
588       .ser_rx_clk(ser_rx_clk),.ser_r(ser_r),.ser_rklsb(ser_rklsb),.ser_rkmsb(ser_rkmsb),
589       .wr_dat_o(wr0_dat),.wr_write_o(wr0_write),.wr_done_o(wr0_done),.wr_error_o(wr0_error),
590       .wr_ready_i(wr0_ready),.wr_full_i(wr0_full),
591       .tx_occupied(ser_tx_occ),.tx_full(ser_tx_full),.tx_empty(ser_tx_empty),
592       .rx_occupied(ser_rx_occ),.rx_full(ser_rx_full),.rx_empty(ser_rx_empty),
593       .debug0(debug_serdes0), .debug1(debug_serdes1) );
594
595    // ///////////////////////////////////////////////////////////////////////////////////
596    // External RAM Interface
597
598    extram_interface extram_interface
599      (.clk(dsp_clk),.rst(dsp_rst),
600       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
601       .rd_dat_i(rd3_dat),.rd_read_o(rd3_read),.rd_done_o(rd3_done),.rd_error_o(rd3_error),
602       .rd_sop_i(rd3_sop),.rd_eop_i(rd3_eop),
603       .wr_dat_o(wr3_dat),.wr_write_o(wr3_write),.wr_done_o(wr3_done),.wr_error_o(wr3_error),
604       .wr_ready_i(wr3_ready),.wr_full_i(wr3_full),
605       .RAM_D(RAM_D),.RAM_A(RAM_A),.RAM_CE1n(RAM_CE1n),.RAM_CENn(RAM_CENn),
606       .RAM_CLK(RAM_CLK),.RAM_WEn(RAM_WEn),.RAM_OEn(RAM_OEn),.RAM_LDn(RAM_LDn) );
607
608   
609    // /////////////////////////////////////////////////////////////////////////////////////////
610    // Debug Pins
611
612    // FIFO Level Debugging
613    reg [31:0] host_to_dsp_fifo, dsp_to_host_fifo, eth_mac_debug, serdes_to_dsp_fifo, dsp_to_serdes_fifo;
614
615    always @(posedge dsp_clk)
616      serdes_to_dsp_fifo <= { {ser_rx_full,ser_rx_empty,ser_rx_occ[13:0]},
617                            {dsp_tx_full,dsp_tx_empty,dsp_tx_occ[13:0]} };
618
619    always @(posedge dsp_clk)
620      dsp_to_serdes_fifo <= { {ser_tx_full,ser_tx_empty,ser_tx_occ[13:0]},
621                           {dsp_rx_full,dsp_rx_empty,dsp_rx_occ[13:0]} };
622
623    always @(posedge dsp_clk)
624      host_to_dsp_fifo <= { {eth_rx_full,eth_rx_empty,eth_rx_occ[13:0]},
625                            {dsp_tx_full,dsp_tx_empty,dsp_tx_occ[13:0]} };
626
627    always @(posedge dsp_clk)
628      dsp_to_host_fifo <= { {eth_tx_full,eth_tx_empty,eth_tx_occ[13:0]},
629                           {dsp_rx_full,dsp_rx_empty,dsp_rx_occ[13:0]} };
630
631    always @(posedge dsp_clk)
632      eth_mac_debug <= {// {eth_tx_full2, eth_tx_empty2, eth_tx_occ2[13:0]},
633                       // {underrun, overrun, debug_mac0[13:0] },
634                        {debug_txc[15:0]},
635                        {eth_rx_full2, eth_rx_empty2, eth_rx_occ2[13:0]} };
636    
637    wire       debug_mux;
638    setting_reg #(.my_addr(5)) sr_debug (.clk(wb_clk),.rst(wb_rst),.strobe(set_stb),.addr(set_addr),
639                                         .in(set_data),.out(debug_mux),.changed());
640
641    //assign     debug = debug_mux ? host_to_dsp_fifo : dsp_to_host_fifo;
642    //assign     debug = debug_mux ? serdes_to_dsp_fifo : dsp_to_serdes_fifo;
643    
644    // Assign various commonly used debug buses.
645    /*
646    wire [31:0] debug_rx_1 = {uart_tx_o,GMII_TX_EN,strobe_rx,overrun,proc_int,buffer_int,timer_int,GMII_RX_DV,
647                              irq[7:0],
648                              GMII_RXD,
649                              GMII_TXD};
650    
651    wire [31:0] debug_rx_2 = { 5'd0, s8_we, s8_stb, s8_ack, debug_rx[23:0] };
652    
653    wire [31:0] debug_time =  {uart_tx_o, 7'b0,
654                               irq[7:0],
655                               6'b0, GMII_RX_DV, GMII_TX_EN,
656                               4'b0, exp_pps_in, exp_pps_out, pps_in, pps_int};
657
658    wire [31:0] debug_irq =  {uart_tx_o, iwb_adr, iwb_ack,
659                              irq[7:0],
660                              proc_int,  7'b0 };
661
662    wire [31:0] debug_eth = 
663                {{uart_tx_o,proc_int,underrun,buffer_int,wr2_ready,wr2_error,wr2_done,wr2_write},
664                 {8'd0},
665                 {8'd0},
666                 {GMII_TX_EN,GMII_RX_DV,Rx_mac_empty,Rx_mac_rd,Rx_mac_err,Rx_mac_sop,Rx_mac_eop,wr2_full} };
667
668    assign      debug_serdes0 = { { rd0_dat[7:0] },
669                                  { ser_tx_clk, ser_tkmsb, ser_tklsb, rd0_sop, rd0_eop, rd0_read, rd0_error, rd0_done },
670                                  { ser_t[15:8] },
671                                  { ser_t[7:0] } };
672
673    assign      debug_serdes1 = { {1'b0,proc_int,underrun,buffer_int,wr0_ready,wr0_error,wr0_done,wr0_write},
674                                  { 1'b0, ser_rx_clk, ser_rkmsb, ser_rklsb, ser_enable, ser_prbsen, ser_loopen, ser_rx_en },
675                                  { ser_r[15:8] },
676                                  { ser_r[7:0] } };
677        
678    assign      debug_gpio_1 = {uart_tx_o,7'd0,
679                                3'd0,rd1_sop,rd1_eop,rd1_read,rd1_done,rd1_error,
680                                debug_txc[15:0]};
681    assign      debug_gpio_1 = debug_rx;
682    assign      debug_gpio_1 = debug_serdes1;
683    assign      debug_gpio_1 = debug_eth;
684       
685     */
686       
687    // Choose actual debug buses
688    assign      debug_clk[0] = wb_clk;
689    assign      debug_clk[1] = dsp_clk;  
690    
691    //assign      debug = {{strobe_rx,/*adc_ovf_a*/ 1'b0,adc_a},
692         //              {run_rx,/*adc_ovf_b*/ 1'b0,adc_b}};
693
694    //assign      debug = debug_tx_dsp;
695    //assign      debug = debug_serdes0;
696    
697    assign      debug_gpio_0 = 0; //debug_serdes0;
698    assign      debug_gpio_1 = 0; //debug_serdes1;
699
700    assign      debug={{3'b0, wb_clk, wb_rst, dsp_rst, por, config_success},
701                       {8'b0},
702                       {3'b0,ram_loader_ack, ram_loader_stb, ram_loader_we,ram_loader_rst,ram_loader_done },
703                       {cpld_start,cpld_mode,cpld_done,cpld_din,cpld_clk,cpld_detached,cpld_misc,cpld_init_b} };
704
705    //assign      debug = {dac_a,dac_b};
706 endmodule // u2_core