Merged branch 'msgq' from http://gnuradio.org/git/jblum.git
[debian/gnuradio] / usrp2 / fpga / simple_gemac / simple_gemac_wrapper.v
1
2 module simple_gemac_wrapper
3   (input clk125, input reset,
4    // GMII
5    output GMII_GTX_CLK, output GMII_TX_EN, output GMII_TX_ER, output [7:0] GMII_TXD,
6    input GMII_RX_CLK, input GMII_RX_DV, input GMII_RX_ER, input [7:0] GMII_RXD,
7    
8    // Flow Control Interface
9    input pause_req, input [15:0] pause_time,
10    
11    // RX Client Interface
12    output rx_clk, output [7:0] rx_ll_data, output rx_ll_sof, output rx_ll_eof,
13    output rx_ll_error, output rx_ll_src_rdy, input rx_ll_dst_rdy,
14    
15    // TX Client Interface
16    output tx_clk, input [7:0] tx_ll_data, input tx_ll_sof, input tx_ll_eof,
17    input tx_ll_src_rdy, output tx_ll_dst_rdy,
18    
19    // Wishbone Interface
20    input wb_clk, input wb_rst, input wb_stb, input wb_cyc, output wb_ack, input wb_we,
21    input [7:0] wb_adr, input [31:0] wb_dat_i, output [31:0] wb_dat_o,
22    
23    // MIIM
24    inout mdio, output mdc );
25    
26    wire [7:0] rx_data, tx_data;
27    wire       tx_clk, tx_valid, tx_error, tx_ack;
28    wire       rx_clk, rx_valid, rx_error, rx_ack;
29
30    wire [47:0] ucast_addr, mcast_addr;
31    wire pass_ucast, pass_mcast, pass_bcast, pass_pause, pass_all, pause_en;
32
33    wire rst_rxclk, rst_txclk;
34    reset_sync reset_sync_tx (.clk(tx_clk),.reset_in(reset),.reset_out(tx_reset));
35    reset_sync reset_sync_rx (.clk(rx_clk),.reset_in(reset),.reset_out(rx_reset));
36
37    simple_gemac simple_gemac
38      (.clk125(clk125),  .reset(reset),
39       .GMII_GTX_CLK(GMII_GTX_CLK), .GMII_TX_EN(GMII_TX_EN),  
40       .GMII_TX_ER(GMII_TX_ER), .GMII_TXD(GMII_TXD),
41       .GMII_RX_CLK(GMII_RX_CLK), .GMII_RX_DV(GMII_RX_DV),  
42       .GMII_RX_ER(GMII_RX_ER), .GMII_RXD(GMII_RXD),
43       .pause_req(pause_req), .pause_time(pause_time), .pause_en(1),
44       .ucast_addr(ucast_addr), .mcast_addr(mcast_addr),
45       .pass_ucast(pass_ucast), .pass_mcast(pass_mcast), .pass_bcast(pass_bcast), 
46       .pass_pause(pass_pause), .pass_all(pass_all),
47       .rx_clk(rx_clk), .rx_data(rx_data),
48       .rx_valid(rx_valid), .rx_error(rx_error), .rx_ack(rx_ack),
49       .tx_clk(tx_clk), .tx_data(tx_data), 
50       .tx_valid(tx_valid), .tx_error(tx_error), .tx_ack(tx_ack)
51       );
52    
53    simple_gemac_wb simple_gemac_wb
54      (.wb_clk(wb_clk), .wb_rst(wb_rst),
55       .wb_cyc(wb_cyc), .wb_stb(wb_stb), .wb_ack(wb_ack), .wb_we(wb_we),
56       .wb_adr(wb_adr), .wb_dat_i(wb_dat_i), .wb_dat_o(wb_dat_o),
57       .mdio(mdio), .mdc(mdc),
58       .ucast_addr(ucast_addr), .mcast_addr(mcast_addr),
59       .pass_ucast(pass_ucast), .pass_mcast(pass_mcast), .pass_bcast(pass_bcast), 
60       .pass_pause(pass_pause), .pass_all(pass_all), .pause_en(pause_en) );
61
62    rxmac_to_ll8 rx_adapt
63      (.clk(rx_clk), .reset(rx_reset), .clear(0),
64       .rx_data(rx_data), .rx_valid(rx_valid), .rx_error(rx_error), .rx_ack(rx_ack),
65       .ll_data(rx_ll_data), .ll_sof(rx_ll_sof), .ll_eof(rx_ll_eof), .ll_error(rx_ll_error),
66       .ll_src_rdy(rx_ll_src_rdy), .ll_dst_rdy(rx_ll_dst_rdy));
67    
68    ll8_to_txmac ll8_to_txmac
69      (.clk(tx_clk), .reset(tx_reset), .clear(0),
70       .ll_data(tx_ll_data), .ll_sof(tx_ll_sof), .ll_eof(tx_ll_eof),
71       .ll_src_rdy(tx_ll_src_rdy), .ll_dst_rdy(tx_ll_dst_rdy),
72       .tx_data(tx_data), .tx_valid(tx_valid), .tx_error(tx_error), .tx_ack(tx_ack));
73
74 endmodule // simple_gemac_wrapper