3 module rx_dcoffset_tb();
12 initial $dumpfile("rx_dcoffset_tb.vcd");
13 initial $dumpvars(0,rx_dcoffset_tb);
15 reg [13:0] adc_in = 7;
19 $display("%d\t%d",adc_in,adc_out);
21 rx_dcoffset #(.WIDTH(14),.ADDR(0))
22 rx_dcoffset(.clk(clk),.rst(rst),.set_stb(0),.set_addr(0),.set_data(0),
23 .adc_in(adc_in),.adc_out(adc_out));
25 endmodule // longfifo_tb