Merged r9433:9527 from features/gr-usrp2 into trunk. Adds usrp2 and gr-usrp2 top...
[debian/gnuradio] / usrp2 / fpga / sdr_lib / add2_reg.v
1
2 module add2_reg
3   #(parameter WIDTH=16)
4     (input clk,
5      input [WIDTH-1:0] in1,
6      input [WIDTH-1:0] in2,
7      output reg [WIDTH-1:0] sum);
8
9    wire [WIDTH-1:0] sum_int;
10    
11    add2 #(.WIDTH(WIDTH)) add2 (.in1(in1),.in2(in2),.sum(sum_int));
12
13    always @(posedge clk)
14      sum <= sum_int;
15    
16 endmodule // add2_reg
17