Merged r9433:9527 from features/gr-usrp2 into trunk. Adds usrp2 and gr-usrp2 top...
[debian/gnuradio] / usrp2 / fpga / opencores / ethernet_tri_mode / rtl / verilog / TECH / altera / CLK_SWITCH.v
1 //////////////////////////////////////////////////////////////////////
2 ////                                                              ////
3 ////  CLK_SWITCH.v                                                  ////
4 ////                                                              ////
5 ////  This file is part of the Ethernet IP core project           ////
6 ////  http://www.opencores.org/projects.cgi/web/ethernet_tri_mode/////
7 ////                                                              ////
8 ////  Author(s):                                                  ////
9 ////      - Jon Gao (gaojon@yahoo.com)                            ////
10 ////                                                              ////
11 ////                                                              ////
12 //////////////////////////////////////////////////////////////////////
13 ////                                                              ////
14 //// Copyright (C) 2001 Authors                                   ////
15 ////                                                              ////
16 //// This source file may be used and distributed without         ////
17 //// restriction provided that this copyright statement is not    ////
18 //// removed from the file and that any derivative work contains  ////
19 //// the original copyright notice and the associated disclaimer. ////
20 ////                                                              ////
21 //// This source file is free software; you can redistribute it   ////
22 //// and/or modify it under the terms of the GNU Lesser General   ////
23 //// Public License as published by the Free Software Foundation; ////
24 //// either version 2.1 of the License, or (at your option) any   ////
25 //// later version.                                               ////
26 ////                                                              ////
27 //// This source is distributed in the hope that it will be       ////
28 //// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
29 //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
30 //// PURPOSE.  See the GNU Lesser General Public License for more ////
31 //// details.                                                     ////
32 ////                                                              ////
33 //// You should have received a copy of the GNU Lesser General    ////
34 //// Public License along with this source; if not, download it   ////
35 //// from http://www.opencores.org/lgpl.shtml                     ////
36 ////                                                              ////
37 //////////////////////////////////////////////////////////////////////
38 //                                                                    
39 // CVS Revision History                                               
40 //                                                                    
41 // $Log: CLK_SWITCH.v,v $
42 // Revision 1.1  2006/10/22 16:12:24  maverickist
43 // no message
44 //
45 // Revision 1.1  2006/06/22 09:01:41  Administrator
46 // no message
47 //
48 // Revision 1.2  2005/12/16 06:44:20  Administrator
49 // replaced tab with space.
50 // passed 9.6k length frame test.
51 //
52 // Revision 1.1.1.1  2005/12/13 01:51:44  Administrator
53 // no message
54 // 
55
56
57 //////////////////////////////////////////////////////////////////////
58 // This file can only used for simulation .
59 // You need to replace it with your own element according to technology
60 //////////////////////////////////////////////////////////////////////
61 module CLK_SWITCH (   
62 input       IN_0,
63 input       IN_1,
64 input       SW  ,
65 output      OUT 
66
67 );
68
69 assign OUT=SW?IN_1:IN_0;
70
71 endmodule