3cc5e29f01bc6c968614849b74f78bf09f7c3f57
[debian/gnuradio] / usrp2 / fpga / coregen / fifo_xlnx_512x36_2clk.v
1 /*******************************************************************************
2 *     This file is owned and controlled by Xilinx and must be used             *
3 *     solely for design, simulation, implementation and creation of            *
4 *     design files limited to Xilinx devices or technologies. Use              *
5 *     with non-Xilinx devices or technologies is expressly prohibited          *
6 *     and immediately terminates your license.                                 *
7 *                                                                              *
8 *     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9 *     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10 *     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11 *     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12 *     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13 *     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14 *     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15 *     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16 *     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17 *     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18 *     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19 *     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20 *     FOR A PARTICULAR PURPOSE.                                                *
21 *                                                                              *
22 *     Xilinx products are not intended for use in life support                 *
23 *     appliances, devices, or systems. Use in such applications are            *
24 *     expressly prohibited.                                                    *
25 *                                                                              *
26 *     (c) Copyright 1995-2007 Xilinx, Inc.                                     *
27 *     All rights reserved.                                                     *
28 *******************************************************************************/
29 // The synthesis directives "translate_off/translate_on" specified below are
30 // supported by Xilinx, Mentor Graphics and Synplicity synthesis
31 // tools. Ensure they are correct for your synthesis tool(s).
32
33 // You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating
34 // the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to
35 // reference the XilinxCoreLib Verilog simulation library. For detailed
36 // instructions, please refer to the "CORE Generator Help".
37
38 `timescale 1ns/1ps
39
40 module fifo_xlnx_512x36_2clk(
41         din,
42         rd_clk,
43         rd_en,
44         rst,
45         wr_clk,
46         wr_en,
47         dout,
48         empty,
49         full,
50         rd_data_count,
51         wr_data_count);
52
53
54 input [35 : 0] din;
55 input rd_clk;
56 input rd_en;
57 input rst;
58 input wr_clk;
59 input wr_en;
60 output [35 : 0] dout;
61 output empty;
62 output full;
63 output [8 : 0] rd_data_count;
64 output [8 : 0] wr_data_count;
65
66 // synthesis translate_off
67
68       FIFO_GENERATOR_V4_3 #(
69                 .C_COMMON_CLOCK(0),
70                 .C_COUNT_TYPE(0),
71                 .C_DATA_COUNT_WIDTH(9),
72                 .C_DEFAULT_VALUE("BlankString"),
73                 .C_DIN_WIDTH(36),
74                 .C_DOUT_RST_VAL("0"),
75                 .C_DOUT_WIDTH(36),
76                 .C_ENABLE_RLOCS(0),
77                 .C_FAMILY("spartan3"),
78                 .C_FULL_FLAGS_RST_VAL(1),
79                 .C_HAS_ALMOST_EMPTY(0),
80                 .C_HAS_ALMOST_FULL(0),
81                 .C_HAS_BACKUP(0),
82                 .C_HAS_DATA_COUNT(0),
83                 .C_HAS_INT_CLK(0),
84                 .C_HAS_MEMINIT_FILE(0),
85                 .C_HAS_OVERFLOW(0),
86                 .C_HAS_RD_DATA_COUNT(1),
87                 .C_HAS_RD_RST(0),
88                 .C_HAS_RST(1),
89                 .C_HAS_SRST(0),
90                 .C_HAS_UNDERFLOW(0),
91                 .C_HAS_VALID(0),
92                 .C_HAS_WR_ACK(0),
93                 .C_HAS_WR_DATA_COUNT(1),
94                 .C_HAS_WR_RST(0),
95                 .C_IMPLEMENTATION_TYPE(2),
96                 .C_INIT_WR_PNTR_VAL(0),
97                 .C_MEMORY_TYPE(1),
98                 .C_MIF_FILE_NAME("BlankString"),
99                 .C_MSGON_VAL(1),
100                 .C_OPTIMIZATION_MODE(0),
101                 .C_OVERFLOW_LOW(0),
102                 .C_PRELOAD_LATENCY(0),
103                 .C_PRELOAD_REGS(1),
104                 .C_PRIM_FIFO_TYPE("512x36"),
105                 .C_PROG_EMPTY_THRESH_ASSERT_VAL(4),
106                 .C_PROG_EMPTY_THRESH_NEGATE_VAL(5),
107                 .C_PROG_EMPTY_TYPE(0),
108                 .C_PROG_FULL_THRESH_ASSERT_VAL(511),
109                 .C_PROG_FULL_THRESH_NEGATE_VAL(510),
110                 .C_PROG_FULL_TYPE(0),
111                 .C_RD_DATA_COUNT_WIDTH(9),
112                 .C_RD_DEPTH(512),
113                 .C_RD_FREQ(1),
114                 .C_RD_PNTR_WIDTH(9),
115                 .C_UNDERFLOW_LOW(0),
116                 .C_USE_DOUT_RST(0),
117                 .C_USE_ECC(0),
118                 .C_USE_EMBEDDED_REG(0),
119                 .C_USE_FIFO16_FLAGS(0),
120                 .C_USE_FWFT_DATA_COUNT(0),
121                 .C_VALID_LOW(0),
122                 .C_WR_ACK_LOW(0),
123                 .C_WR_DATA_COUNT_WIDTH(9),
124                 .C_WR_DEPTH(512),
125                 .C_WR_FREQ(1),
126                 .C_WR_PNTR_WIDTH(9),
127                 .C_WR_RESPONSE_LATENCY(1))
128         inst (
129                 .DIN(din),
130                 .RD_CLK(rd_clk),
131                 .RD_EN(rd_en),
132                 .RST(rst),
133                 .WR_CLK(wr_clk),
134                 .WR_EN(wr_en),
135                 .DOUT(dout),
136                 .EMPTY(empty),
137                 .FULL(full),
138                 .RD_DATA_COUNT(rd_data_count),
139                 .WR_DATA_COUNT(wr_data_count),
140                 .CLK(),
141                 .INT_CLK(),
142                 .BACKUP(),
143                 .BACKUP_MARKER(),
144                 .PROG_EMPTY_THRESH(),
145                 .PROG_EMPTY_THRESH_ASSERT(),
146                 .PROG_EMPTY_THRESH_NEGATE(),
147                 .PROG_FULL_THRESH(),
148                 .PROG_FULL_THRESH_ASSERT(),
149                 .PROG_FULL_THRESH_NEGATE(),
150                 .RD_RST(),
151                 .SRST(),
152                 .WR_RST(),
153                 .ALMOST_EMPTY(),
154                 .ALMOST_FULL(),
155                 .DATA_COUNT(),
156                 .OVERFLOW(),
157                 .PROG_EMPTY(),
158                 .PROG_FULL(),
159                 .VALID(),
160                 .UNDERFLOW(),
161                 .WR_ACK(),
162                 .SBITERR(),
163                 .DBITERR());
164
165
166 // synthesis translate_on
167
168 // XST black box declaration
169 // box_type "black_box"
170 // synthesis attribute box_type of fifo_xlnx_512x36_2clk is "black_box"
171
172 endmodule
173