mark RFX boards as i_and_q_swapped
[debian/gnuradio] / usrp2 / fpga / control_lib / SYSCTRL.sav
1 [size] 1400 971
2 [pos] -1 -1
3 *-11.026821 2450 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
4 @28
5 system_control_tb.aux_clk
6 @29
7 system_control_tb.clk_fpga
8 @28
9 system_control_tb.dsp_clk
10 system_control_tb.dsp_rst
11 system_control_tb.proc_rst
12 system_control_tb.rl_done
13 system_control_tb.rl_rst
14 system_control_tb.wb_clk
15 system_control_tb.wb_rst
16 system_control_tb.system_control.POR
17 @22
18 system_control_tb.system_control.POR_ctr[3:0]
19 @28
20 system_control_tb.clock_ready
21 system_control_tb.system_control.half_clk
22 system_control_tb.system_control.fin_ret_half
23 system_control_tb.system_control.fin_ret_aux
24 system_control_tb.system_control.gate_dsp_clk