Houston, we have a trunk.
[debian/gnuradio] / usrp / fpga / tb / cordic_tb.v
1 // -*- verilog -*-
2 //
3 //  USRP - Universal Software Radio Peripheral
4 //
5 //  Copyright (C) 2003 Matt Ettus
6 //
7 //  This program is free software; you can redistribute it and/or modify
8 //  it under the terms of the GNU General Public License as published by
9 //  the Free Software Foundation; either version 2 of the License, or
10 //  (at your option) any later version.
11 //
12 //  This program is distributed in the hope that it will be useful,
13 //  but WITHOUT ANY WARRANTY; without even the implied warranty of
14 //  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15 //  GNU General Public License for more details.
16 //
17 //  You should have received a copy of the GNU General Public License
18 //  along with this program; if not, write to the Free Software
19 //  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
20 //
21
22
23
24 module cordic_tb();
25
26    cordic cordic(clk, reset, enable, xi, yi, zi, xo, yo, zo );
27
28    reg reset;
29    reg clk;
30    reg enable;
31    reg [15:0] xi, yi, zi;
32    
33    initial reset = 1'b1;
34    initial #1000 reset = 1'b0;
35
36    initial clk = 1'b0;
37    always #50 clk <= ~clk;
38    
39    initial enable = 1'b1;
40
41    initial zi = 16'b0;
42
43    always @(posedge clk)
44      zi <= #1 zi + 16'd0;
45    
46    wire [15:0] xo,yo,zo;
47
48    initial $dumpfile("cordic.vcd");
49   initial $dumpvars(0,cordic_tb);
50    initial
51      begin
52 `include "sine.txt"
53      end
54
55         wire [15:0] xiu = {~xi[15],xi[14:0]};
56         wire [15:0] yiu = {~yi[15],yi[14:0]};
57         wire [15:0] xou = {~xo[15],xo[14:0]};
58         wire [15:0] you = {~yo[15],yo[14:0]};
59    initial $monitor("%d\t%d\t%d\t%d\t%d",$time,xiu,yiu,xou,you);
60
61 endmodule // cordic_tb