Merged features/inband -r4812:5218 into trunk. This group of changes
[debian/gnuradio] / usrp / fpga / megacells / fifo_512_bb.v
1 // megafunction wizard: %LPM_FIFO+%VBB%\r
2 // GENERATION: STANDARD\r
3 // VERSION: WM1.0\r
4 // MODULE: dcfifo \r
5 \r
6 // ============================================================\r
7 // File Name: fifo_512.v\r
8 // Megafunction Name(s):\r
9 //                      dcfifo\r
10 // ============================================================\r
11 // ************************************************************\r
12 // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
13 //\r
14 // 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
15 // ************************************************************\r
16 \r
17 //Copyright (C) 1991-2006 Altera Corporation\r
18 //Your use of Altera Corporation's design tools, logic functions \r
19 //and other software and tools, and its AMPP partner logic \r
20 //functions, and any output files any of the foregoing \r
21 //(including device programming or simulation files), and any \r
22 //associated documentation or information are expressly subject \r
23 //to the terms and conditions of the Altera Program License \r
24 //Subscription Agreement, Altera MegaCore Function License \r
25 //Agreement, or other applicable license agreement, including, \r
26 //without limitation, that your use is for the sole purpose of \r
27 //programming logic devices manufactured by Altera and sold by \r
28 //Altera or its authorized distributors.  Please refer to the \r
29 //applicable agreement for further details.\r
30 \r
31 module fifo_512 (\r
32         aclr,\r
33         data,\r
34         rdclk,\r
35         rdreq,\r
36         wrclk,\r
37         wrreq,\r
38         q,\r
39         rdempty,\r
40         rdfull,\r
41         wrempty,\r
42         wrfull);\r
43 \r
44         input     aclr;\r
45         input   [31:0]  data;\r
46         input     rdclk;\r
47         input     rdreq;\r
48         input     wrclk;\r
49         input     wrreq;\r
50         output  [31:0]  q;\r
51         output    rdempty;\r
52         output    rdfull;\r
53         output    wrempty;\r
54         output    wrfull;\r
55 \r
56 endmodule\r
57 \r
58 // ============================================================\r
59 // CNX file retrieval info\r
60 // ============================================================\r
61 // Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"\r
62 // Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"\r
63 // Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
64 // Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
65 // Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
66 // Retrieval info: PRIVATE: Clock NUMERIC "4"\r
67 // Retrieval info: PRIVATE: Depth NUMERIC "128"\r
68 // Retrieval info: PRIVATE: Empty NUMERIC "1"\r
69 // Retrieval info: PRIVATE: Full NUMERIC "1"\r
70 // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
71 // Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
72 // Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"\r
73 // Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
74 // Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"\r
75 // Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
76 // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"\r
77 // Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"\r
78 // Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
79 // Retrieval info: PRIVATE: Width NUMERIC "32"\r
80 // Retrieval info: PRIVATE: dc_aclr NUMERIC "1"\r
81 // Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
82 // Retrieval info: PRIVATE: rsFull NUMERIC "1"\r
83 // Retrieval info: PRIVATE: rsUsedW NUMERIC "0"\r
84 // Retrieval info: PRIVATE: sc_aclr NUMERIC "0"\r
85 // Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
86 // Retrieval info: PRIVATE: wsEmpty NUMERIC "1"\r
87 // Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
88 // Retrieval info: PRIVATE: wsUsedW NUMERIC "0"\r
89 // Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
90 // Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"\r
91 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
92 // Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M4K"\r
93 // Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "128"\r
94 // Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"\r
95 // Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"\r
96 // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32"\r
97 // Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "7"\r
98 // Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"\r
99 // Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"\r
100 // Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
101 // Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr\r
102 // Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL data[31..0]\r
103 // Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL q[31..0]\r
104 // Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk\r
105 // Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty\r
106 // Retrieval info: USED_PORT: rdfull 0 0 0 0 OUTPUT NODEFVAL rdfull\r
107 // Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
108 // Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk\r
109 // Retrieval info: USED_PORT: wrempty 0 0 0 0 OUTPUT NODEFVAL wrempty\r
110 // Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull\r
111 // Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
112 // Retrieval info: CONNECT: @data 0 0 32 0 data 0 0 32 0\r
113 // Retrieval info: CONNECT: q 0 0 32 0 @q 0 0 32 0\r
114 // Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
115 // Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
116 // Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0\r
117 // Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0\r
118 // Retrieval info: CONNECT: rdfull 0 0 0 0 @rdfull 0 0 0 0\r
119 // Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0\r
120 // Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0\r
121 // Retrieval info: CONNECT: wrempty 0 0 0 0 @wrempty 0 0 0 0\r
122 // Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
123 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
124 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512.v TRUE\r
125 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512.inc TRUE\r
126 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512.cmp TRUE\r
127 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512.bsf TRUE\r
128 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512_inst.v TRUE\r
129 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512_bb.v TRUE\r
130 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512_waveforms.html TRUE\r
131 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo_512_wave*.jpg FALSE\r