Added ST FlashLINK interface config file.
[fw/openocd] / tcl / interface / parport.cfg
1 #
2 # Parallel port wiggler (many clones available) on port 0xc8b8
3 #
4
5 interface parport
6 parport_port 0xc8b8
7 parport_cable wiggler
8