Created
[fw/sdcc] / support / regression / tests / enum.c
1 /* Test the types of enum.
2  */
3 #include <testfwk.h>
4
5 enum _SimpleEnum {
6   SIMPLE_ZERO,
7   SIMPLE_ONE,
8   SIMPLE_TWO
9 };
10
11 enum _ValuesEnum {
12   VALUES_ZERO,
13   VALUES_FIVE = 5,
14   VALUES_SIX,
15   VALUES_SEVEN,
16   VALUES_TWELVE = 12
17 };
18
19 enum _IndexedEnum {
20   INDEXED_ZERO,
21   INDEXED_ONE,
22   /* PENDING: Fails */
23   //INDEXED_ONE_ALIAS = INDEXED_ONE,
24   INDEXED_TWO
25 };
26
27 void
28 testSimpleEnum(void)
29 {
30   ASSERT(SIMPLE_ZERO == 0);
31   ASSERT(SIMPLE_ONE == 1);
32   ASSERT(SIMPLE_TWO == 2);
33 }
34
35 void 
36 testValuesEnum(void)
37 {
38   ASSERT(VALUES_ZERO == 0);
39   ASSERT(VALUES_FIVE == 5);
40   ASSERT(VALUES_SIX == 6);
41   ASSERT(VALUES_SEVEN == 7);
42   ASSERT(VALUES_TWELVE == 12);
43 }
44
45 void
46 testIndexedEnum(void)
47 {
48   ASSERT(INDEXED_ZERO == 0);
49   ASSERT(INDEXED_ONE == 1);
50   //  ASSERT(INDEXED_ONE_ALIAS == 1);
51   ASSERT(INDEXED_TWO == 2);
52 }