adapter: add command "adapter [de]assert srst|trst [[de]assert srst|trst]"
[fw/openocd] / src / jtag / core.c
1 /***************************************************************************
2  *   Copyright (C) 2009 Zachary T Welch                                    *
3  *   zw@superlucidity.net                                                  *
4  *                                                                         *
5  *   Copyright (C) 2007,2008,2009 Ã˜yvind Harboe                            *
6  *   oyvind.harboe@zylin.com                                               *
7  *                                                                         *
8  *   Copyright (C) 2009 SoftPLC Corporation                                *
9  *       http://softplc.com                                                *
10  *   dick@softplc.com                                                      *
11  *                                                                         *
12  *   Copyright (C) 2005 by Dominic Rath                                    *
13  *   Dominic.Rath@gmx.de                                                   *
14  *                                                                         *
15  *   This program is free software; you can redistribute it and/or modify  *
16  *   it under the terms of the GNU General Public License as published by  *
17  *   the Free Software Foundation; either version 2 of the License, or     *
18  *   (at your option) any later version.                                   *
19  *                                                                         *
20  *   This program is distributed in the hope that it will be useful,       *
21  *   but WITHOUT ANY WARRANTY; without even the implied warranty of        *
22  *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         *
23  *   GNU General Public License for more details.                          *
24  *                                                                         *
25  *   You should have received a copy of the GNU General Public License     *
26  *   along with this program.  If not, see <http://www.gnu.org/licenses/>. *
27  ***************************************************************************/
28
29 #ifdef HAVE_CONFIG_H
30 #include "config.h"
31 #endif
32
33 #include "jtag.h"
34 #include "swd.h"
35 #include "interface.h"
36 #include <transport/transport.h>
37 #include <helper/jep106.h>
38 #include <jtag/hla/hla_transport.h>
39 #include <jtag/hla/hla_interface.h>
40
41 #ifdef HAVE_STRINGS_H
42 #include <strings.h>
43 #endif
44
45 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
46 #include "svf/svf.h"
47 #include "xsvf/xsvf.h"
48
49 /** The number of JTAG queue flushes (for profiling and debugging purposes). */
50 static int jtag_flush_queue_count;
51
52 /* Sleep this # of ms after flushing the queue */
53 static int jtag_flush_queue_sleep;
54
55 static void jtag_add_scan_check(struct jtag_tap *active,
56                 void (*jtag_add_scan)(struct jtag_tap *active,
57                 int in_num_fields,
58                 const struct scan_field *in_fields,
59                 tap_state_t state),
60                 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
61
62 /**
63  * The jtag_error variable is set when an error occurs while executing
64  * the queue.  Application code may set this using jtag_set_error(),
65  * when an error occurs during processing that should be reported during
66  * jtag_execute_queue().
67  *
68  * The value is set and cleared, but never read by normal application code.
69  *
70  * This value is returned (and cleared) by jtag_execute_queue().
71  */
72 static int jtag_error = ERROR_OK;
73
74 static const char *jtag_event_strings[] = {
75         [JTAG_TRST_ASSERTED] = "TAP reset",
76         [JTAG_TAP_EVENT_SETUP] = "TAP setup",
77         [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
78         [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
79 };
80
81 /*
82  * JTAG adapters must initialize with TRST and SRST de-asserted
83  * (they're negative logic, so that means *high*).  But some
84  * hardware doesn't necessarily work that way ... so set things
85  * up so that jtag_init() always forces that state.
86  */
87 static int jtag_trst = -1;
88 static int jtag_srst = -1;
89
90 /**
91  * List all TAPs that have been created.
92  */
93 static struct jtag_tap *__jtag_all_taps;
94
95 static enum reset_types jtag_reset_config = RESET_NONE;
96 tap_state_t cmd_queue_cur_state = TAP_RESET;
97
98 static bool jtag_verify_capture_ir = true;
99 static int jtag_verify = 1;
100
101 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines
102  *deasserted (in ms) */
103 static int adapter_nsrst_delay; /* default to no nSRST delay */
104 static int jtag_ntrst_delay;/* default to no nTRST delay */
105 static int adapter_nsrst_assert_width;  /* width of assertion */
106 static int jtag_ntrst_assert_width;     /* width of assertion */
107
108 /**
109  * Contains a single callback along with a pointer that will be passed
110  * when an event occurs.
111  */
112 struct jtag_event_callback {
113         /** a event callback */
114         jtag_event_handler_t callback;
115         /** the private data to pass to the callback */
116         void *priv;
117         /** the next callback */
118         struct jtag_event_callback *next;
119 };
120
121 /* callbacks to inform high-level handlers about JTAG state changes */
122 static struct jtag_event_callback *jtag_event_callbacks;
123
124 /* speed in kHz*/
125 static int speed_khz;
126 /* speed to fallback to when RCLK is requested but not supported */
127 static int rclk_fallback_speed_khz;
128 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
129 static int jtag_speed;
130
131 static struct jtag_interface *jtag;
132
133 /* configuration */
134 struct jtag_interface *jtag_interface;
135
136 void jtag_set_flush_queue_sleep(int ms)
137 {
138         jtag_flush_queue_sleep = ms;
139 }
140
141 void jtag_set_error(int error)
142 {
143         if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
144                 return;
145         jtag_error = error;
146 }
147
148 int jtag_error_clear(void)
149 {
150         int temp = jtag_error;
151         jtag_error = ERROR_OK;
152         return temp;
153 }
154
155 /************/
156
157 static bool jtag_poll = 1;
158
159 bool is_jtag_poll_safe(void)
160 {
161         /* Polling can be disabled explicitly with set_enabled(false).
162          * It is also implicitly disabled while TRST is active and
163          * while SRST is gating the JTAG clock.
164          */
165         if (!transport_is_jtag())
166                 return jtag_poll;
167
168         if (!jtag_poll || jtag_trst != 0)
169                 return false;
170         return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
171 }
172
173 bool jtag_poll_get_enabled(void)
174 {
175         return jtag_poll;
176 }
177
178 void jtag_poll_set_enabled(bool value)
179 {
180         jtag_poll = value;
181 }
182
183 /************/
184
185 struct jtag_tap *jtag_all_taps(void)
186 {
187         return __jtag_all_taps;
188 };
189
190 unsigned jtag_tap_count(void)
191 {
192         struct jtag_tap *t = jtag_all_taps();
193         unsigned n = 0;
194         while (t) {
195                 n++;
196                 t = t->next_tap;
197         }
198         return n;
199 }
200
201 unsigned jtag_tap_count_enabled(void)
202 {
203         struct jtag_tap *t = jtag_all_taps();
204         unsigned n = 0;
205         while (t) {
206                 if (t->enabled)
207                         n++;
208                 t = t->next_tap;
209         }
210         return n;
211 }
212
213 /** Append a new TAP to the chain of all taps. */
214 void jtag_tap_add(struct jtag_tap *t)
215 {
216         unsigned jtag_num_taps = 0;
217
218         struct jtag_tap **tap = &__jtag_all_taps;
219         while (*tap != NULL) {
220                 jtag_num_taps++;
221                 tap = &(*tap)->next_tap;
222         }
223         *tap = t;
224         t->abs_chain_position = jtag_num_taps;
225 }
226
227 /* returns a pointer to the n-th device in the scan chain */
228 struct jtag_tap *jtag_tap_by_position(unsigned n)
229 {
230         struct jtag_tap *t = jtag_all_taps();
231
232         while (t && n-- > 0)
233                 t = t->next_tap;
234
235         return t;
236 }
237
238 struct jtag_tap *jtag_tap_by_string(const char *s)
239 {
240         /* try by name first */
241         struct jtag_tap *t = jtag_all_taps();
242
243         while (t) {
244                 if (0 == strcmp(t->dotted_name, s))
245                         return t;
246                 t = t->next_tap;
247         }
248
249         /* no tap found by name, so try to parse the name as a number */
250         unsigned n;
251         if (parse_uint(s, &n) != ERROR_OK)
252                 return NULL;
253
254         /* FIXME remove this numeric fallback code late June 2010, along
255          * with all info in the User's Guide that TAPs have numeric IDs.
256          * Also update "scan_chain" output to not display the numbers.
257          */
258         t = jtag_tap_by_position(n);
259         if (t)
260                 LOG_WARNING("Specify TAP '%s' by name, not number %u",
261                         t->dotted_name, n);
262
263         return t;
264 }
265
266 struct jtag_tap *jtag_tap_next_enabled(struct jtag_tap *p)
267 {
268         p = p ? p->next_tap : jtag_all_taps();
269         while (p) {
270                 if (p->enabled)
271                         return p;
272                 p = p->next_tap;
273         }
274         return NULL;
275 }
276
277 const char *jtag_tap_name(const struct jtag_tap *tap)
278 {
279         return (tap == NULL) ? "(unknown)" : tap->dotted_name;
280 }
281
282
283 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
284 {
285         struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
286
287         if (callback == NULL)
288                 return ERROR_COMMAND_SYNTAX_ERROR;
289
290         if (*callbacks_p) {
291                 while ((*callbacks_p)->next)
292                         callbacks_p = &((*callbacks_p)->next);
293                 callbacks_p = &((*callbacks_p)->next);
294         }
295
296         (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
297         (*callbacks_p)->callback = callback;
298         (*callbacks_p)->priv = priv;
299         (*callbacks_p)->next = NULL;
300
301         return ERROR_OK;
302 }
303
304 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
305 {
306         struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
307
308         if (callback == NULL)
309                 return ERROR_COMMAND_SYNTAX_ERROR;
310
311         while (*p) {
312                 if (((*p)->priv != priv) || ((*p)->callback != callback)) {
313                         p = &(*p)->next;
314                         continue;
315                 }
316
317                 temp = *p;
318                 *p = (*p)->next;
319                 free(temp);
320         }
321
322         return ERROR_OK;
323 }
324
325 int jtag_call_event_callbacks(enum jtag_event event)
326 {
327         struct jtag_event_callback *callback = jtag_event_callbacks;
328
329         LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
330
331         while (callback) {
332                 struct jtag_event_callback *next;
333
334                 /* callback may remove itself */
335                 next = callback->next;
336                 callback->callback(event, callback->priv);
337                 callback = next;
338         }
339
340         return ERROR_OK;
341 }
342
343 static void jtag_checks(void)
344 {
345         assert(jtag_trst == 0);
346 }
347
348 static void jtag_prelude(tap_state_t state)
349 {
350         jtag_checks();
351
352         assert(state != TAP_INVALID);
353
354         cmd_queue_cur_state = state;
355 }
356
357 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
358         tap_state_t state)
359 {
360         jtag_prelude(state);
361
362         int retval = interface_jtag_add_ir_scan(active, in_fields, state);
363         jtag_set_error(retval);
364 }
365
366 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active,
367         int dummy,
368         const struct scan_field *in_fields,
369         tap_state_t state)
370 {
371         jtag_add_ir_scan_noverify(active, in_fields, state);
372 }
373
374 /* If fields->in_value is filled out, then the captured IR value will be checked */
375 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
376 {
377         assert(state != TAP_RESET);
378
379         if (jtag_verify && jtag_verify_capture_ir) {
380                 /* 8 x 32 bit id's is enough for all invocations */
381
382                 /* if we are to run a verification of the ir scan, we need to get the input back.
383                  * We may have to allocate space if the caller didn't ask for the input back.
384                  */
385                 in_fields->check_value = active->expected;
386                 in_fields->check_mask = active->expected_mask;
387                 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields,
388                         state);
389         } else
390                 jtag_add_ir_scan_noverify(active, in_fields, state);
391 }
392
393 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
394         tap_state_t state)
395 {
396         assert(out_bits != NULL);
397         assert(state != TAP_RESET);
398
399         jtag_prelude(state);
400
401         int retval = interface_jtag_add_plain_ir_scan(
402                         num_bits, out_bits, in_bits, state);
403         jtag_set_error(retval);
404 }
405
406 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
407                                   uint8_t *in_check_mask, int num_bits);
408
409 static int jtag_check_value_mask_callback(jtag_callback_data_t data0,
410         jtag_callback_data_t data1,
411         jtag_callback_data_t data2,
412         jtag_callback_data_t data3)
413 {
414         return jtag_check_value_inner((uint8_t *)data0,
415                 (uint8_t *)data1,
416                 (uint8_t *)data2,
417                 (int)data3);
418 }
419
420 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(
421                 struct jtag_tap *active,
422                 int in_num_fields,
423                 const struct scan_field *in_fields,
424                 tap_state_t state),
425         int in_num_fields, struct scan_field *in_fields, tap_state_t state)
426 {
427         jtag_add_scan(active, in_num_fields, in_fields, state);
428
429         for (int i = 0; i < in_num_fields; i++) {
430                 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL)) {
431                         /* this is synchronous for a minidriver */
432                         jtag_add_callback4(jtag_check_value_mask_callback,
433                                 (jtag_callback_data_t)in_fields[i].in_value,
434                                 (jtag_callback_data_t)in_fields[i].check_value,
435                                 (jtag_callback_data_t)in_fields[i].check_mask,
436                                 (jtag_callback_data_t)in_fields[i].num_bits);
437                 }
438         }
439 }
440
441 void jtag_add_dr_scan_check(struct jtag_tap *active,
442         int in_num_fields,
443         struct scan_field *in_fields,
444         tap_state_t state)
445 {
446         if (jtag_verify)
447                 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
448         else
449                 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
450 }
451
452
453 void jtag_add_dr_scan(struct jtag_tap *active,
454         int in_num_fields,
455         const struct scan_field *in_fields,
456         tap_state_t state)
457 {
458         assert(state != TAP_RESET);
459
460         jtag_prelude(state);
461
462         int retval;
463         retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
464         jtag_set_error(retval);
465 }
466
467 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
468         tap_state_t state)
469 {
470         assert(out_bits != NULL);
471         assert(state != TAP_RESET);
472
473         jtag_prelude(state);
474
475         int retval;
476         retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
477         jtag_set_error(retval);
478 }
479
480 void jtag_add_tlr(void)
481 {
482         jtag_prelude(TAP_RESET);
483         jtag_set_error(interface_jtag_add_tlr());
484
485         /* NOTE: order here matches TRST path in jtag_add_reset() */
486         jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
487         jtag_notify_event(JTAG_TRST_ASSERTED);
488 }
489
490 /**
491  * If supported by the underlying adapter, this clocks a raw bit sequence
492  * onto TMS for switching betwen JTAG and SWD modes.
493  *
494  * DO NOT use this to bypass the integrity checks and logging provided
495  * by the jtag_add_pathmove() and jtag_add_statemove() calls.
496  *
497  * @param nbits How many bits to clock out.
498  * @param seq The bit sequence.  The LSB is bit 0 of seq[0].
499  * @param state The JTAG tap state to record on completion.  Use
500  *      TAP_INVALID to represent being in in SWD mode.
501  *
502  * @todo Update naming conventions to stop assuming everything is JTAG.
503  */
504 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
505 {
506         int retval;
507
508         if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
509                 return ERROR_JTAG_NOT_IMPLEMENTED;
510
511         jtag_checks();
512         cmd_queue_cur_state = state;
513
514         retval = interface_add_tms_seq(nbits, seq, state);
515         jtag_set_error(retval);
516         return retval;
517 }
518
519 void jtag_add_pathmove(int num_states, const tap_state_t *path)
520 {
521         tap_state_t cur_state = cmd_queue_cur_state;
522
523         /* the last state has to be a stable state */
524         if (!tap_is_state_stable(path[num_states - 1])) {
525                 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
526                 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
527                 return;
528         }
529
530         for (int i = 0; i < num_states; i++) {
531                 if (path[i] == TAP_RESET) {
532                         LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
533                         jtag_set_error(ERROR_JTAG_STATE_INVALID);
534                         return;
535                 }
536
537                 if (tap_state_transition(cur_state, true) != path[i] &&
538                                 tap_state_transition(cur_state, false) != path[i]) {
539                         LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
540                                 tap_state_name(cur_state), tap_state_name(path[i]));
541                         jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
542                         return;
543                 }
544                 cur_state = path[i];
545         }
546
547         jtag_checks();
548
549         jtag_set_error(interface_jtag_add_pathmove(num_states, path));
550         cmd_queue_cur_state = path[num_states - 1];
551 }
552
553 int jtag_add_statemove(tap_state_t goal_state)
554 {
555         tap_state_t cur_state = cmd_queue_cur_state;
556
557         if (goal_state != cur_state) {
558                 LOG_DEBUG("cur_state=%s goal_state=%s",
559                         tap_state_name(cur_state),
560                         tap_state_name(goal_state));
561         }
562
563         /* If goal is RESET, be paranoid and force that that transition
564          * (e.g. five TCK cycles, TMS high).  Else trust "cur_state".
565          */
566         if (goal_state == TAP_RESET)
567                 jtag_add_tlr();
568         else if (goal_state == cur_state)
569                 /* nothing to do */;
570
571         else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state)) {
572                 unsigned tms_bits  = tap_get_tms_path(cur_state, goal_state);
573                 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
574                 tap_state_t moves[8];
575                 assert(tms_count < ARRAY_SIZE(moves));
576
577                 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1) {
578                         bool bit = tms_bits & 1;
579
580                         cur_state = tap_state_transition(cur_state, bit);
581                         moves[i] = cur_state;
582                 }
583
584                 jtag_add_pathmove(tms_count, moves);
585         } else if (tap_state_transition(cur_state, true)  == goal_state
586                         || tap_state_transition(cur_state, false) == goal_state)
587                 jtag_add_pathmove(1, &goal_state);
588         else
589                 return ERROR_FAIL;
590
591         return ERROR_OK;
592 }
593
594 void jtag_add_runtest(int num_cycles, tap_state_t state)
595 {
596         jtag_prelude(state);
597         jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
598 }
599
600
601 void jtag_add_clocks(int num_cycles)
602 {
603         if (!tap_is_state_stable(cmd_queue_cur_state)) {
604                 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
605                         tap_state_name(cmd_queue_cur_state));
606                 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
607                 return;
608         }
609
610         if (num_cycles > 0) {
611                 jtag_checks();
612                 jtag_set_error(interface_jtag_add_clocks(num_cycles));
613         }
614 }
615
616 void swd_add_reset(int req_srst)
617 {
618         if (req_srst) {
619                 if (!(jtag_reset_config & RESET_HAS_SRST)) {
620                         LOG_ERROR("BUG: can't assert SRST");
621                         jtag_set_error(ERROR_FAIL);
622                         return;
623                 }
624                 req_srst = 1;
625         }
626
627         /* Maybe change SRST signal state */
628         if (jtag_srst != req_srst) {
629                 int retval;
630
631                 retval = interface_jtag_add_reset(0, req_srst);
632                 if (retval != ERROR_OK)
633                         jtag_set_error(retval);
634                 else
635                         retval = jtag_execute_queue();
636
637                 if (retval != ERROR_OK) {
638                         LOG_ERROR("TRST/SRST error");
639                         return;
640                 }
641
642                 /* SRST resets everything hooked up to that signal */
643                 jtag_srst = req_srst;
644                 if (jtag_srst) {
645                         LOG_DEBUG("SRST line asserted");
646                         if (adapter_nsrst_assert_width)
647                                 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
648                 } else {
649                         LOG_DEBUG("SRST line released");
650                         if (adapter_nsrst_delay)
651                                 jtag_add_sleep(adapter_nsrst_delay * 1000);
652                 }
653
654                 retval = jtag_execute_queue();
655                 if (retval != ERROR_OK) {
656                         LOG_ERROR("SRST timings error");
657                         return;
658                 }
659         }
660 }
661
662 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
663 {
664         int trst_with_tlr = 0;
665         int new_srst = 0;
666         int new_trst = 0;
667
668         /* Without SRST, we must use target-specific JTAG operations
669          * on each target; callers should not be requesting SRST when
670          * that signal doesn't exist.
671          *
672          * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
673          * can kick in even if the JTAG adapter can't drive TRST.
674          */
675         if (req_srst) {
676                 if (!(jtag_reset_config & RESET_HAS_SRST)) {
677                         LOG_ERROR("BUG: can't assert SRST");
678                         jtag_set_error(ERROR_FAIL);
679                         return;
680                 }
681                 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
682                                 && !req_tlr_or_trst) {
683                         LOG_ERROR("BUG: can't assert only SRST");
684                         jtag_set_error(ERROR_FAIL);
685                         return;
686                 }
687                 new_srst = 1;
688         }
689
690         /* JTAG reset (entry to TAP_RESET state) can always be achieved
691          * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
692          * state first.  TRST accelerates it, and bypasses those states.
693          *
694          * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
695          * can kick in even if the JTAG adapter can't drive SRST.
696          */
697         if (req_tlr_or_trst) {
698                 if (!(jtag_reset_config & RESET_HAS_TRST))
699                         trst_with_tlr = 1;
700                 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
701                          && !req_srst)
702                         trst_with_tlr = 1;
703                 else
704                         new_trst = 1;
705         }
706
707         /* Maybe change TRST and/or SRST signal state */
708         if (jtag_srst != new_srst || jtag_trst != new_trst) {
709                 int retval;
710
711                 retval = interface_jtag_add_reset(new_trst, new_srst);
712                 if (retval != ERROR_OK)
713                         jtag_set_error(retval);
714                 else
715                         retval = jtag_execute_queue();
716
717                 if (retval != ERROR_OK) {
718                         LOG_ERROR("TRST/SRST error");
719                         return;
720                 }
721         }
722
723         /* SRST resets everything hooked up to that signal */
724         if (jtag_srst != new_srst) {
725                 jtag_srst = new_srst;
726                 if (jtag_srst) {
727                         LOG_DEBUG("SRST line asserted");
728                         if (adapter_nsrst_assert_width)
729                                 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
730                 } else {
731                         LOG_DEBUG("SRST line released");
732                         if (adapter_nsrst_delay)
733                                 jtag_add_sleep(adapter_nsrst_delay * 1000);
734                 }
735         }
736
737         /* Maybe enter the JTAG TAP_RESET state ...
738          *  - using only TMS, TCK, and the JTAG state machine
739          *  - or else more directly, using TRST
740          *
741          * TAP_RESET should be invisible to non-debug parts of the system.
742          */
743         if (trst_with_tlr) {
744                 LOG_DEBUG("JTAG reset with TLR instead of TRST");
745                 jtag_add_tlr();
746
747         } else if (jtag_trst != new_trst) {
748                 jtag_trst = new_trst;
749                 if (jtag_trst) {
750                         LOG_DEBUG("TRST line asserted");
751                         tap_set_state(TAP_RESET);
752                         if (jtag_ntrst_assert_width)
753                                 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
754                 } else {
755                         LOG_DEBUG("TRST line released");
756                         if (jtag_ntrst_delay)
757                                 jtag_add_sleep(jtag_ntrst_delay * 1000);
758
759                         /* We just asserted nTRST, so we're now in TAP_RESET.
760                          * Inform possible listeners about this, now that
761                          * JTAG instructions and data can be shifted.  This
762                          * sequence must match jtag_add_tlr().
763                          */
764                         jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
765                         jtag_notify_event(JTAG_TRST_ASSERTED);
766                 }
767         }
768 }
769
770 void jtag_add_sleep(uint32_t us)
771 {
772         /** @todo Here, keep_alive() appears to be a layering violation!!! */
773         keep_alive();
774         jtag_set_error(interface_jtag_add_sleep(us));
775 }
776
777 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
778         uint8_t *in_check_mask, int num_bits)
779 {
780         int retval = ERROR_OK;
781         int compare_failed;
782
783         if (in_check_mask)
784                 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
785         else
786                 compare_failed = buf_cmp(captured, in_check_value, num_bits);
787
788         if (compare_failed) {
789                 char *captured_str, *in_check_value_str;
790                 int bits = (num_bits > DEBUG_JTAG_IOZ) ? DEBUG_JTAG_IOZ : num_bits;
791
792                 /* NOTE:  we've lost diagnostic context here -- 'which tap' */
793
794                 captured_str = buf_to_str(captured, bits, 16);
795                 in_check_value_str = buf_to_str(in_check_value, bits, 16);
796
797                 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
798                         captured_str);
799                 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
800
801                 free(captured_str);
802                 free(in_check_value_str);
803
804                 if (in_check_mask) {
805                         char *in_check_mask_str;
806
807                         in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
808                         LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
809                         free(in_check_mask_str);
810                 }
811
812                 retval = ERROR_JTAG_QUEUE_FAILED;
813         }
814         return retval;
815 }
816
817 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
818 {
819         assert(field->in_value != NULL);
820
821         if (value == NULL) {
822                 /* no checking to do */
823                 return;
824         }
825
826         jtag_execute_queue_noclear();
827
828         int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
829         jtag_set_error(retval);
830 }
831
832 int default_interface_jtag_execute_queue(void)
833 {
834         if (NULL == jtag) {
835                 LOG_ERROR("No JTAG interface configured yet.  "
836                         "Issue 'init' command in startup scripts "
837                         "before communicating with targets.");
838                 return ERROR_FAIL;
839         }
840
841         int result = jtag->execute_queue();
842
843 #if !BUILD_ZY1000
844         /* Only build this if we use a regular driver with a command queue.
845          * Otherwise jtag_command_queue won't be found at compile/link time. Its
846          * definition is in jtag/commands.c, which is only built/linked by
847          * jtag/Makefile.am if MINIDRIVER_DUMMY || !MINIDRIVER, but those variables
848          * aren't accessible here. */
849         struct jtag_command *cmd = jtag_command_queue;
850         while (debug_level >= LOG_LVL_DEBUG && cmd) {
851                 switch (cmd->type) {
852                         case JTAG_SCAN:
853                                 LOG_DEBUG_IO("JTAG %s SCAN to %s",
854                                                 cmd->cmd.scan->ir_scan ? "IR" : "DR",
855                                                 tap_state_name(cmd->cmd.scan->end_state));
856                                 for (int i = 0; i < cmd->cmd.scan->num_fields; i++) {
857                                         struct scan_field *field = cmd->cmd.scan->fields + i;
858                                         if (field->out_value) {
859                                                 char *str = buf_to_str(field->out_value, field->num_bits, 16);
860                                                 LOG_DEBUG_IO("  %db out: %s", field->num_bits, str);
861                                                 free(str);
862                                         }
863                                         if (field->in_value) {
864                                                 char *str = buf_to_str(field->in_value, field->num_bits, 16);
865                                                 LOG_DEBUG_IO("  %db  in: %s", field->num_bits, str);
866                                                 free(str);
867                                         }
868                                 }
869                                 break;
870                         case JTAG_TLR_RESET:
871                                 LOG_DEBUG_IO("JTAG TLR RESET to %s",
872                                                 tap_state_name(cmd->cmd.statemove->end_state));
873                                 break;
874                         case JTAG_RUNTEST:
875                                 LOG_DEBUG_IO("JTAG RUNTEST %d cycles to %s",
876                                                 cmd->cmd.runtest->num_cycles,
877                                                 tap_state_name(cmd->cmd.runtest->end_state));
878                                 break;
879                         case JTAG_RESET:
880                                 {
881                                         const char *reset_str[3] = {
882                                                 "leave", "deassert", "assert"
883                                         };
884                                         LOG_DEBUG_IO("JTAG RESET %s TRST, %s SRST",
885                                                         reset_str[cmd->cmd.reset->trst + 1],
886                                                         reset_str[cmd->cmd.reset->srst + 1]);
887                                 }
888                                 break;
889                         case JTAG_PATHMOVE:
890                                 LOG_DEBUG_IO("JTAG PATHMOVE (TODO)");
891                                 break;
892                         case JTAG_SLEEP:
893                                 LOG_DEBUG_IO("JTAG SLEEP (TODO)");
894                                 break;
895                         case JTAG_STABLECLOCKS:
896                                 LOG_DEBUG_IO("JTAG STABLECLOCKS (TODO)");
897                                 break;
898                         case JTAG_TMS:
899                                 LOG_DEBUG_IO("JTAG TMS (TODO)");
900                                 break;
901                         default:
902                                 LOG_ERROR("Unknown JTAG command: %d", cmd->type);
903                                 break;
904                 }
905                 cmd = cmd->next;
906         }
907 #endif
908
909         return result;
910 }
911
912 void jtag_execute_queue_noclear(void)
913 {
914         jtag_flush_queue_count++;
915         jtag_set_error(interface_jtag_execute_queue());
916
917         if (jtag_flush_queue_sleep > 0) {
918                 /* For debug purposes it can be useful to test performance
919                  * or behavior when delaying after flushing the queue,
920                  * e.g. to simulate long roundtrip times.
921                  */
922                 usleep(jtag_flush_queue_sleep * 1000);
923         }
924 }
925
926 int jtag_get_flush_queue_count(void)
927 {
928         return jtag_flush_queue_count;
929 }
930
931 int jtag_execute_queue(void)
932 {
933         jtag_execute_queue_noclear();
934         return jtag_error_clear();
935 }
936
937 static int jtag_reset_callback(enum jtag_event event, void *priv)
938 {
939         struct jtag_tap *tap = priv;
940
941         if (event == JTAG_TRST_ASSERTED) {
942                 tap->enabled = !tap->disabled_after_reset;
943
944                 /* current instruction is either BYPASS or IDCODE */
945                 buf_set_ones(tap->cur_instr, tap->ir_length);
946                 tap->bypass = 1;
947         }
948
949         return ERROR_OK;
950 }
951
952 /* sleep at least us microseconds. When we sleep more than 1000ms we
953  * do an alive sleep, i.e. keep GDB alive. Note that we could starve
954  * GDB if we slept for <1000ms many times.
955  */
956 void jtag_sleep(uint32_t us)
957 {
958         if (us < 1000)
959                 usleep(us);
960         else
961                 alive_sleep((us+999)/1000);
962 }
963
964 #define JTAG_MAX_AUTO_TAPS 20
965
966 #define EXTRACT_JEP106_BANK(X) (((X) & 0xf00) >> 8)
967 #define EXTRACT_JEP106_ID(X)   (((X) & 0xfe) >> 1)
968 #define EXTRACT_MFG(X)  (((X) & 0xffe) >> 1)
969 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
970 #define EXTRACT_VER(X)  (((X) & 0xf0000000) >> 28)
971
972 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
973  * know that no valid TAP will have it as an IDCODE value.
974  */
975 #define END_OF_CHAIN_FLAG       0xffffffff
976
977 /* a larger IR length than we ever expect to autoprobe */
978 #define JTAG_IRLEN_MAX          60
979
980 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
981 {
982         struct scan_field field = {
983                 .num_bits = num_idcode * 32,
984                 .out_value = idcode_buffer,
985                 .in_value = idcode_buffer,
986         };
987
988         /* initialize to the end of chain ID value */
989         for (unsigned i = 0; i < num_idcode; i++)
990                 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
991
992         jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
993         jtag_add_tlr();
994         return jtag_execute_queue();
995 }
996
997 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
998 {
999         uint8_t zero_check = 0x0;
1000         uint8_t one_check = 0xff;
1001
1002         for (unsigned i = 0; i < count * 4; i++) {
1003                 zero_check |= idcodes[i];
1004                 one_check &= idcodes[i];
1005         }
1006
1007         /* if there wasn't a single non-zero bit or if all bits were one,
1008          * the scan is not valid.  We wrote a mix of both values; either
1009          *
1010          *  - There's a hardware issue (almost certainly):
1011          *     + all-zeroes can mean a target stuck in JTAG reset
1012          *     + all-ones tends to mean no target
1013          *  - The scan chain is WAY longer than we can handle, *AND* either
1014          *     + there are several hundreds of TAPs in bypass, or
1015          *     + at least a few dozen TAPs all have an all-ones IDCODE
1016          */
1017         if (zero_check == 0x00 || one_check == 0xff) {
1018                 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
1019                         (zero_check == 0x00) ? "zeroes" : "ones");
1020                 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
1021                 return false;
1022         }
1023         return true;
1024 }
1025
1026 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
1027         const char *name, uint32_t idcode)
1028 {
1029         log_printf_lf(level, __FILE__, __LINE__, __func__,
1030                 "JTAG tap: %s %16.16s: 0x%08x "
1031                 "(mfg: 0x%3.3x (%s), part: 0x%4.4x, ver: 0x%1.1x)",
1032                 name, msg,
1033                 (unsigned int)idcode,
1034                 (unsigned int)EXTRACT_MFG(idcode),
1035                 jep106_manufacturer(EXTRACT_JEP106_BANK(idcode), EXTRACT_JEP106_ID(idcode)),
1036                 (unsigned int)EXTRACT_PART(idcode),
1037                 (unsigned int)EXTRACT_VER(idcode));
1038 }
1039
1040 static bool jtag_idcode_is_final(uint32_t idcode)
1041 {
1042         /*
1043          * Some devices, such as AVR8, will output all 1's instead
1044          * of TDI input value at end of chain. Allow those values
1045          * instead of failing.
1046          */
1047         return idcode == END_OF_CHAIN_FLAG;
1048 }
1049
1050 /**
1051  * This helper checks that remaining bits in the examined chain data are
1052  * all as expected, but a single JTAG device requires only 64 bits to be
1053  * read back correctly.  This can help identify and diagnose problems
1054  * with the JTAG chain earlier, gives more helpful/explicit error messages.
1055  * Returns TRUE iff garbage was found.
1056  */
1057 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
1058 {
1059         bool triggered = false;
1060         for (; count < max - 31; count += 32) {
1061                 uint32_t idcode = buf_get_u32(idcodes, count, 32);
1062
1063                 /* do not trigger the warning if the data looks good */
1064                 if (jtag_idcode_is_final(idcode))
1065                         continue;
1066                 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
1067                         count, (unsigned int)idcode);
1068                 triggered = true;
1069         }
1070         return triggered;
1071 }
1072
1073 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
1074 {
1075
1076         if (tap->expected_ids_cnt == 0 || !tap->hasidcode)
1077                 return true;
1078
1079         /* optionally ignore the JTAG version field - bits 28-31 of IDCODE */
1080         uint32_t mask = tap->ignore_version ? ~(0xfU << 28) : ~0U;
1081         uint32_t idcode = tap->idcode & mask;
1082
1083         /* Loop over the expected identification codes and test for a match */
1084         for (unsigned ii = 0; ii < tap->expected_ids_cnt; ii++) {
1085                 uint32_t expected = tap->expected_ids[ii] & mask;
1086
1087                 if (idcode == expected)
1088                         return true;
1089
1090                 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1091                 if (0 == tap->expected_ids[ii])
1092                         return true;
1093         }
1094
1095         /* If none of the expected ids matched, warn */
1096         jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1097                 tap->dotted_name, tap->idcode);
1098         for (unsigned ii = 0; ii < tap->expected_ids_cnt; ii++) {
1099                 char msg[32];
1100
1101                 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, tap->expected_ids_cnt);
1102                 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1103                         tap->dotted_name, tap->expected_ids[ii]);
1104         }
1105         return false;
1106 }
1107
1108 /* Try to examine chain layout according to IEEE 1149.1 Â§12
1109  * This is called a "blind interrogation" of the scan chain.
1110  */
1111 static int jtag_examine_chain(void)
1112 {
1113         int retval;
1114         unsigned max_taps = jtag_tap_count();
1115
1116         /* Autoprobe up to this many. */
1117         if (max_taps < JTAG_MAX_AUTO_TAPS)
1118                 max_taps = JTAG_MAX_AUTO_TAPS;
1119
1120         /* Add room for end-of-chain marker. */
1121         max_taps++;
1122
1123         uint8_t *idcode_buffer = malloc(max_taps * 4);
1124         if (idcode_buffer == NULL)
1125                 return ERROR_JTAG_INIT_FAILED;
1126
1127         /* DR scan to collect BYPASS or IDCODE register contents.
1128          * Then make sure the scan data has both ones and zeroes.
1129          */
1130         LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1131         retval = jtag_examine_chain_execute(idcode_buffer, max_taps);
1132         if (retval != ERROR_OK)
1133                 goto out;
1134         if (!jtag_examine_chain_check(idcode_buffer, max_taps)) {
1135                 retval = ERROR_JTAG_INIT_FAILED;
1136                 goto out;
1137         }
1138
1139         /* Point at the 1st predefined tap, if any */
1140         struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1141
1142         unsigned bit_count = 0;
1143         unsigned autocount = 0;
1144         for (unsigned i = 0; i < max_taps; i++) {
1145                 assert(bit_count < max_taps * 32);
1146                 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1147
1148                 /* No predefined TAP? Auto-probe. */
1149                 if (tap == NULL) {
1150                         /* Is there another TAP? */
1151                         if (jtag_idcode_is_final(idcode))
1152                                 break;
1153
1154                         /* Default everything in this TAP except IR length.
1155                          *
1156                          * REVISIT create a jtag_alloc(chip, tap) routine, and
1157                          * share it with jim_newtap_cmd().
1158                          */
1159                         tap = calloc(1, sizeof *tap);
1160                         if (!tap) {
1161                                 retval = ERROR_FAIL;
1162                                 goto out;
1163                         }
1164
1165                         tap->chip = alloc_printf("auto%u", autocount++);
1166                         tap->tapname = strdup("tap");
1167                         tap->dotted_name = alloc_printf("%s.%s", tap->chip, tap->tapname);
1168
1169                         tap->ir_length = 0; /* ... signifying irlen autoprobe */
1170                         tap->ir_capture_mask = 0x03;
1171                         tap->ir_capture_value = 0x01;
1172
1173                         tap->enabled = true;
1174
1175                         jtag_tap_init(tap);
1176                 }
1177
1178                 if ((idcode & 1) == 0) {
1179                         /* Zero for LSB indicates a device in bypass */
1180                         LOG_INFO("TAP %s does not have valid IDCODE (idcode=0x%x)",
1181                                         tap->dotted_name, idcode);
1182                         tap->hasidcode = false;
1183                         tap->idcode = 0;
1184
1185                         bit_count += 1;
1186                 } else {
1187                         /* Friendly devices support IDCODE */
1188                         tap->hasidcode = true;
1189                         tap->idcode = idcode;
1190                         jtag_examine_chain_display(LOG_LVL_INFO, "tap/device found", tap->dotted_name, idcode);
1191
1192                         bit_count += 32;
1193                 }
1194
1195                 /* ensure the TAP ID matches what was expected */
1196                 if (!jtag_examine_chain_match_tap(tap))
1197                         retval = ERROR_JTAG_INIT_SOFT_FAIL;
1198
1199                 tap = jtag_tap_next_enabled(tap);
1200         }
1201
1202         /* After those IDCODE or BYPASS register values should be
1203          * only the data we fed into the scan chain.
1204          */
1205         if (jtag_examine_chain_end(idcode_buffer, bit_count, max_taps * 32)) {
1206                 LOG_ERROR("double-check your JTAG setup (interface, speed, ...)");
1207                 retval = ERROR_JTAG_INIT_FAILED;
1208                 goto out;
1209         }
1210
1211         /* Return success or, for backwards compatibility if only
1212          * some IDCODE values mismatched, a soft/continuable fault.
1213          */
1214 out:
1215         free(idcode_buffer);
1216         return retval;
1217 }
1218
1219 /*
1220  * Validate the date loaded by entry to the Capture-IR state, to help
1221  * find errors related to scan chain configuration (wrong IR lengths)
1222  * or communication.
1223  *
1224  * Entry state can be anything.  On non-error exit, all TAPs are in
1225  * bypass mode.  On error exits, the scan chain is reset.
1226  */
1227 static int jtag_validate_ircapture(void)
1228 {
1229         struct jtag_tap *tap;
1230         int total_ir_length = 0;
1231         uint8_t *ir_test = NULL;
1232         struct scan_field field;
1233         uint64_t val;
1234         int chain_pos = 0;
1235         int retval;
1236
1237         /* when autoprobing, accomodate huge IR lengths */
1238         for (tap = NULL, total_ir_length = 0;
1239                         (tap = jtag_tap_next_enabled(tap)) != NULL;
1240                         total_ir_length += tap->ir_length) {
1241                 if (tap->ir_length == 0)
1242                         total_ir_length += JTAG_IRLEN_MAX;
1243         }
1244
1245         /* increase length to add 2 bit sentinel after scan */
1246         total_ir_length += 2;
1247
1248         ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1249         if (ir_test == NULL)
1250                 return ERROR_FAIL;
1251
1252         /* after this scan, all TAPs will capture BYPASS instructions */
1253         buf_set_ones(ir_test, total_ir_length);
1254
1255         field.num_bits = total_ir_length;
1256         field.out_value = ir_test;
1257         field.in_value = ir_test;
1258
1259         jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1260
1261         LOG_DEBUG("IR capture validation scan");
1262         retval = jtag_execute_queue();
1263         if (retval != ERROR_OK)
1264                 goto done;
1265
1266         tap = NULL;
1267         chain_pos = 0;
1268
1269         for (;; ) {
1270                 tap = jtag_tap_next_enabled(tap);
1271                 if (tap == NULL)
1272                         break;
1273
1274                 /* If we're autoprobing, guess IR lengths.  They must be at
1275                  * least two bits.  Guessing will fail if (a) any TAP does
1276                  * not conform to the JTAG spec; or (b) when the upper bits
1277                  * captured from some conforming TAP are nonzero.  Or if
1278                  * (c) an IR length is longer than JTAG_IRLEN_MAX bits,
1279                  * an implementation limit, which could someday be raised.
1280                  *
1281                  * REVISIT optimization:  if there's a *single* TAP we can
1282                  * lift restrictions (a) and (b) by scanning a recognizable
1283                  * pattern before the all-ones BYPASS.  Check for where the
1284                  * pattern starts in the result, instead of an 0...01 value.
1285                  *
1286                  * REVISIT alternative approach: escape to some tcl code
1287                  * which could provide more knowledge, based on IDCODE; and
1288                  * only guess when that has no success.
1289                  */
1290                 if (tap->ir_length == 0) {
1291                         tap->ir_length = 2;
1292                         while ((val = buf_get_u64(ir_test, chain_pos, tap->ir_length + 1)) == 1
1293                                         && tap->ir_length < JTAG_IRLEN_MAX) {
1294                                 tap->ir_length++;
1295                         }
1296                         LOG_WARNING("AUTO %s - use \"jtag newtap " "%s %s -irlen %d "
1297                                         "-expected-id 0x%08" PRIx32 "\"",
1298                                         tap->dotted_name, tap->chip, tap->tapname, tap->ir_length, tap->idcode);
1299                 }
1300
1301                 /* Validate the two LSBs, which must be 01 per JTAG spec.
1302                  *
1303                  * Or ... more bits could be provided by TAP declaration.
1304                  * Plus, some taps (notably in i.MX series chips) violate
1305                  * this part of the JTAG spec, so their capture mask/value
1306                  * attributes might disable this test.
1307                  */
1308                 val = buf_get_u64(ir_test, chain_pos, tap->ir_length);
1309                 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1310                         LOG_ERROR("%s: IR capture error; saw 0x%0*" PRIx64 " not 0x%0*" PRIx32,
1311                                 jtag_tap_name(tap),
1312                                 (tap->ir_length + 7) / tap->ir_length, val,
1313                                 (tap->ir_length + 7) / tap->ir_length, tap->ir_capture_value);
1314
1315                         retval = ERROR_JTAG_INIT_FAILED;
1316                         goto done;
1317                 }
1318                 LOG_DEBUG("%s: IR capture 0x%0*" PRIx64, jtag_tap_name(tap),
1319                         (tap->ir_length + 7) / tap->ir_length, val);
1320                 chain_pos += tap->ir_length;
1321         }
1322
1323         /* verify the '11' sentinel we wrote is returned at the end */
1324         val = buf_get_u64(ir_test, chain_pos, 2);
1325         if (val != 0x3) {
1326                 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1327
1328                 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1329                         chain_pos, cbuf);
1330                 free(cbuf);
1331                 retval = ERROR_JTAG_INIT_FAILED;
1332         }
1333
1334 done:
1335         free(ir_test);
1336         if (retval != ERROR_OK) {
1337                 jtag_add_tlr();
1338                 jtag_execute_queue();
1339         }
1340         return retval;
1341 }
1342
1343 void jtag_tap_init(struct jtag_tap *tap)
1344 {
1345         unsigned ir_len_bits;
1346         unsigned ir_len_bytes;
1347
1348         /* if we're autoprobing, cope with potentially huge ir_length */
1349         ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1350         ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1351
1352         tap->expected = calloc(1, ir_len_bytes);
1353         tap->expected_mask = calloc(1, ir_len_bytes);
1354         tap->cur_instr = malloc(ir_len_bytes);
1355
1356         /** @todo cope better with ir_length bigger than 32 bits */
1357         if (ir_len_bits > 32)
1358                 ir_len_bits = 32;
1359
1360         buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1361         buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1362
1363         /* TAP will be in bypass mode after jtag_validate_ircapture() */
1364         tap->bypass = 1;
1365         buf_set_ones(tap->cur_instr, tap->ir_length);
1366
1367         /* register the reset callback for the TAP */
1368         jtag_register_event_callback(&jtag_reset_callback, tap);
1369         jtag_tap_add(tap);
1370
1371         LOG_DEBUG("Created Tap: %s @ abs position %d, "
1372                         "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1373                         tap->abs_chain_position, tap->ir_length,
1374                         (unsigned) tap->ir_capture_value,
1375                         (unsigned) tap->ir_capture_mask);
1376 }
1377
1378 void jtag_tap_free(struct jtag_tap *tap)
1379 {
1380         jtag_unregister_event_callback(&jtag_reset_callback, tap);
1381
1382         struct jtag_tap_event_action *jteap = tap->event_action;
1383         while (jteap) {
1384                 struct jtag_tap_event_action *next = jteap->next;
1385                 Jim_DecrRefCount(jteap->interp, jteap->body);
1386                 free(jteap);
1387                 jteap = next;
1388         }
1389
1390         free(tap->expected);
1391         free(tap->expected_mask);
1392         free(tap->expected_ids);
1393         free(tap->cur_instr);
1394         free(tap->chip);
1395         free(tap->tapname);
1396         free(tap->dotted_name);
1397         free(tap);
1398 }
1399
1400 /**
1401  * Do low-level setup like initializing registers, output signals,
1402  * and clocking.
1403  */
1404 int adapter_init(struct command_context *cmd_ctx)
1405 {
1406         if (jtag)
1407                 return ERROR_OK;
1408
1409         if (!jtag_interface) {
1410                 /* nothing was previously specified by "interface" command */
1411                 LOG_ERROR("Debug Adapter has to be specified, "
1412                         "see \"interface\" command");
1413                 return ERROR_JTAG_INVALID_INTERFACE;
1414         }
1415
1416         int retval;
1417         retval = jtag_interface->init();
1418         if (retval != ERROR_OK)
1419                 return retval;
1420         jtag = jtag_interface;
1421
1422         if (jtag->speed == NULL) {
1423                 LOG_INFO("This adapter doesn't support configurable speed");
1424                 return ERROR_OK;
1425         }
1426
1427         if (CLOCK_MODE_UNSELECTED == clock_mode) {
1428                 LOG_ERROR("An adapter speed is not selected in the init script."
1429                         " Insert a call to adapter_khz or jtag_rclk to proceed.");
1430                 return ERROR_JTAG_INIT_FAILED;
1431         }
1432
1433         int requested_khz = jtag_get_speed_khz();
1434         int actual_khz = requested_khz;
1435         int jtag_speed_var = 0;
1436         retval = jtag_get_speed(&jtag_speed_var);
1437         if (retval != ERROR_OK)
1438                 return retval;
1439         retval = jtag->speed(jtag_speed_var);
1440         if (retval != ERROR_OK)
1441                 return retval;
1442         retval = jtag_get_speed_readable(&actual_khz);
1443         if (ERROR_OK != retval)
1444                 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1445         else if (actual_khz) {
1446                 /* Adaptive clocking -- JTAG-specific */
1447                 if ((CLOCK_MODE_RCLK == clock_mode)
1448                                 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz)) {
1449                         LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1450                         , actual_khz);
1451                 } else
1452                         LOG_INFO("clock speed %d kHz", actual_khz);
1453         } else
1454                 LOG_INFO("RCLK (adaptive clock speed)");
1455
1456         return ERROR_OK;
1457 }
1458
1459 int jtag_init_inner(struct command_context *cmd_ctx)
1460 {
1461         struct jtag_tap *tap;
1462         int retval;
1463         bool issue_setup = true;
1464
1465         LOG_DEBUG("Init JTAG chain");
1466
1467         tap = jtag_tap_next_enabled(NULL);
1468         if (tap == NULL) {
1469                 /* Once JTAG itself is properly set up, and the scan chain
1470                  * isn't absurdly large, IDCODE autoprobe should work fine.
1471                  *
1472                  * But ... IRLEN autoprobe can fail even on systems which
1473                  * are fully conformant to JTAG.  Also, JTAG setup can be
1474                  * quite finicky on some systems.
1475                  *
1476                  * REVISIT: if TAP autoprobe works OK, then in many cases
1477                  * we could escape to tcl code and set up targets based on
1478                  * the TAP's IDCODE values.
1479                  */
1480                 LOG_WARNING("There are no enabled taps.  "
1481                         "AUTO PROBING MIGHT NOT WORK!!");
1482
1483                 /* REVISIT default clock will often be too fast ... */
1484         }
1485
1486         jtag_add_tlr();
1487         retval = jtag_execute_queue();
1488         if (retval != ERROR_OK)
1489                 return retval;
1490
1491         /* Examine DR values first.  This discovers problems which will
1492          * prevent communication ... hardware issues like TDO stuck, or
1493          * configuring the wrong number of (enabled) TAPs.
1494          */
1495         retval = jtag_examine_chain();
1496         switch (retval) {
1497                 case ERROR_OK:
1498                         /* complete success */
1499                         break;
1500                 default:
1501                         /* For backward compatibility reasons, try coping with
1502                          * configuration errors involving only ID mismatches.
1503                          * We might be able to talk to the devices.
1504                          *
1505                          * Also the device might be powered down during startup.
1506                          *
1507                          * After OpenOCD starts, we can try to power on the device
1508                          * and run a reset.
1509                          */
1510                         LOG_ERROR("Trying to use configured scan chain anyway...");
1511                         issue_setup = false;
1512                         break;
1513         }
1514
1515         /* Now look at IR values.  Problems here will prevent real
1516          * communication.  They mostly mean that the IR length is
1517          * wrong ... or that the IR capture value is wrong.  (The
1518          * latter is uncommon, but easily worked around:  provide
1519          * ircapture/irmask values during TAP setup.)
1520          */
1521         retval = jtag_validate_ircapture();
1522         if (retval != ERROR_OK) {
1523                 /* The target might be powered down. The user
1524                  * can power it up and reset it after firing
1525                  * up OpenOCD.
1526                  */
1527                 issue_setup = false;
1528         }
1529
1530         if (issue_setup)
1531                 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1532         else
1533                 LOG_WARNING("Bypassing JTAG setup events due to errors");
1534
1535
1536         return ERROR_OK;
1537 }
1538
1539 int adapter_quit(void)
1540 {
1541         if (jtag && jtag->quit) {
1542                 /* close the JTAG interface */
1543                 int result = jtag->quit();
1544                 if (ERROR_OK != result)
1545                         LOG_ERROR("failed: %d", result);
1546         }
1547
1548         struct jtag_tap *t = jtag_all_taps();
1549         while (t) {
1550                 struct jtag_tap *n = t->next_tap;
1551                 jtag_tap_free(t);
1552                 t = n;
1553         }
1554
1555         return ERROR_OK;
1556 }
1557
1558 int swd_init_reset(struct command_context *cmd_ctx)
1559 {
1560         int retval = adapter_init(cmd_ctx);
1561         if (retval != ERROR_OK)
1562                 return retval;
1563
1564         LOG_DEBUG("Initializing with hard SRST reset");
1565
1566         if (jtag_reset_config & RESET_HAS_SRST)
1567                 swd_add_reset(1);
1568         swd_add_reset(0);
1569         retval = jtag_execute_queue();
1570         return retval;
1571 }
1572
1573 int jtag_init_reset(struct command_context *cmd_ctx)
1574 {
1575         int retval = adapter_init(cmd_ctx);
1576         if (retval != ERROR_OK)
1577                 return retval;
1578
1579         LOG_DEBUG("Initializing with hard TRST+SRST reset");
1580
1581         /*
1582          * This procedure is used by default when OpenOCD triggers a reset.
1583          * It's now done through an overridable Tcl "init_reset" wrapper.
1584          *
1585          * This started out as a more powerful "get JTAG working" reset than
1586          * jtag_init_inner(), applying TRST because some chips won't activate
1587          * JTAG without a TRST cycle (presumed to be async, though some of
1588          * those chips synchronize JTAG activation using TCK).
1589          *
1590          * But some chips only activate JTAG as part of an SRST cycle; SRST
1591          * got mixed in.  So it became a hard reset routine, which got used
1592          * in more places, and which coped with JTAG reset being forced as
1593          * part of SRST (srst_pulls_trst).
1594          *
1595          * And even more corner cases started to surface:  TRST and/or SRST
1596          * assertion timings matter; some chips need other JTAG operations;
1597          * TRST/SRST sequences can need to be different from these, etc.
1598          *
1599          * Systems should override that wrapper to support system-specific
1600          * requirements that this not-fully-generic code doesn't handle.
1601          *
1602          * REVISIT once Tcl code can read the reset_config modes, this won't
1603          * need to be a C routine at all...
1604          */
1605         if (jtag_reset_config & RESET_HAS_SRST) {
1606                 jtag_add_reset(1, 1);
1607                 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1608                         jtag_add_reset(0, 1);
1609         } else {
1610                 jtag_add_reset(1, 0);   /* TAP_RESET, using TMS+TCK or TRST */
1611         }
1612
1613         /* some targets enable us to connect with srst asserted */
1614         if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1615                 if (jtag_reset_config & RESET_SRST_NO_GATING)
1616                         jtag_add_reset(0, 1);
1617                 else {
1618                         LOG_WARNING("\'srst_nogate\' reset_config option is required");
1619                         jtag_add_reset(0, 0);
1620                 }
1621         } else
1622                 jtag_add_reset(0, 0);
1623         retval = jtag_execute_queue();
1624         if (retval != ERROR_OK)
1625                 return retval;
1626
1627         /* Check that we can communication on the JTAG chain + eventually we want to
1628          * be able to perform enumeration only after OpenOCD has started
1629          * telnet and GDB server
1630          *
1631          * That would allow users to more easily perform any magic they need to before
1632          * reset happens.
1633          */
1634         return jtag_init_inner(cmd_ctx);
1635 }
1636
1637 int jtag_init(struct command_context *cmd_ctx)
1638 {
1639         int retval = adapter_init(cmd_ctx);
1640         if (retval != ERROR_OK)
1641                 return retval;
1642
1643         /* guard against oddball hardware: force resets to be inactive */
1644         jtag_add_reset(0, 0);
1645
1646         /* some targets enable us to connect with srst asserted */
1647         if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1648                 if (jtag_reset_config & RESET_SRST_NO_GATING)
1649                         jtag_add_reset(0, 1);
1650                 else
1651                         LOG_WARNING("\'srst_nogate\' reset_config option is required");
1652         }
1653         retval = jtag_execute_queue();
1654         if (retval != ERROR_OK)
1655                 return retval;
1656
1657         if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1658                 return ERROR_FAIL;
1659
1660         return ERROR_OK;
1661 }
1662
1663 unsigned jtag_get_speed_khz(void)
1664 {
1665         return speed_khz;
1666 }
1667
1668 static int adapter_khz_to_speed(unsigned khz, int *speed)
1669 {
1670         LOG_DEBUG("convert khz to interface specific speed value");
1671         speed_khz = khz;
1672         if (!jtag)
1673                 return ERROR_OK;
1674         LOG_DEBUG("have interface set up");
1675         if (!jtag->khz) {
1676                 LOG_ERROR("Translation from khz to jtag_speed not implemented");
1677                 return ERROR_FAIL;
1678         }
1679         int speed_div1;
1680         int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1681         if (ERROR_OK != retval)
1682                 return retval;
1683         *speed = speed_div1;
1684         return ERROR_OK;
1685 }
1686
1687 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int *speed)
1688 {
1689         int retval = adapter_khz_to_speed(0, speed);
1690         if ((ERROR_OK != retval) && fallback_speed_khz) {
1691                 LOG_DEBUG("trying fallback speed...");
1692                 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1693         }
1694         return retval;
1695 }
1696
1697 static int jtag_set_speed(int speed)
1698 {
1699         jtag_speed = speed;
1700         /* this command can be called during CONFIG,
1701          * in which case jtag isn't initialized */
1702         return jtag ? jtag->speed(speed) : ERROR_OK;
1703 }
1704
1705 int jtag_config_khz(unsigned khz)
1706 {
1707         LOG_DEBUG("handle jtag khz");
1708         clock_mode = CLOCK_MODE_KHZ;
1709         int speed = 0;
1710         int retval = adapter_khz_to_speed(khz, &speed);
1711         return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1712 }
1713
1714 int jtag_config_rclk(unsigned fallback_speed_khz)
1715 {
1716         LOG_DEBUG("handle jtag rclk");
1717         clock_mode = CLOCK_MODE_RCLK;
1718         rclk_fallback_speed_khz = fallback_speed_khz;
1719         int speed = 0;
1720         int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1721         return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1722 }
1723
1724 int jtag_get_speed(int *speed)
1725 {
1726         switch (clock_mode) {
1727                 case CLOCK_MODE_KHZ:
1728                         adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1729                         break;
1730                 case CLOCK_MODE_RCLK:
1731                         jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1732                         break;
1733                 default:
1734                         LOG_ERROR("BUG: unknown jtag clock mode");
1735                         return ERROR_FAIL;
1736         }
1737         return ERROR_OK;
1738 }
1739
1740 int jtag_get_speed_readable(int *khz)
1741 {
1742         int jtag_speed_var = 0;
1743         int retval = jtag_get_speed(&jtag_speed_var);
1744         if (retval != ERROR_OK)
1745                 return retval;
1746         if (!jtag)
1747                 return ERROR_OK;
1748         if (!jtag->speed_div) {
1749                 LOG_ERROR("Translation from jtag_speed to khz not implemented");
1750                 return ERROR_FAIL;
1751         }
1752         return jtag->speed_div(jtag_speed_var, khz);
1753 }
1754
1755 void jtag_set_verify(bool enable)
1756 {
1757         jtag_verify = enable;
1758 }
1759
1760 bool jtag_will_verify()
1761 {
1762         return jtag_verify;
1763 }
1764
1765 void jtag_set_verify_capture_ir(bool enable)
1766 {
1767         jtag_verify_capture_ir = enable;
1768 }
1769
1770 bool jtag_will_verify_capture_ir()
1771 {
1772         return jtag_verify_capture_ir;
1773 }
1774
1775 int jtag_power_dropout(int *dropout)
1776 {
1777         if (jtag == NULL) {
1778                 /* TODO: as the jtag interface is not valid all
1779                  * we can do at the moment is exit OpenOCD */
1780                 LOG_ERROR("No Valid JTAG Interface Configured.");
1781                 exit(-1);
1782         }
1783         if (jtag->power_dropout)
1784                 return jtag->power_dropout(dropout);
1785
1786         *dropout = 0; /* by default we can't detect power dropout */
1787         return ERROR_OK;
1788 }
1789
1790 int jtag_srst_asserted(int *srst_asserted)
1791 {
1792         if (jtag->srst_asserted)
1793                 return jtag->srst_asserted(srst_asserted);
1794
1795         *srst_asserted = 0; /* by default we can't detect srst asserted */
1796         return ERROR_OK;
1797 }
1798
1799 enum reset_types jtag_get_reset_config(void)
1800 {
1801         return jtag_reset_config;
1802 }
1803 void jtag_set_reset_config(enum reset_types type)
1804 {
1805         jtag_reset_config = type;
1806 }
1807
1808 int jtag_get_trst(void)
1809 {
1810         return jtag_trst == 1;
1811 }
1812 int jtag_get_srst(void)
1813 {
1814         return jtag_srst == 1;
1815 }
1816
1817 void jtag_set_nsrst_delay(unsigned delay)
1818 {
1819         adapter_nsrst_delay = delay;
1820 }
1821 unsigned jtag_get_nsrst_delay(void)
1822 {
1823         return adapter_nsrst_delay;
1824 }
1825 void jtag_set_ntrst_delay(unsigned delay)
1826 {
1827         jtag_ntrst_delay = delay;
1828 }
1829 unsigned jtag_get_ntrst_delay(void)
1830 {
1831         return jtag_ntrst_delay;
1832 }
1833
1834
1835 void jtag_set_nsrst_assert_width(unsigned delay)
1836 {
1837         adapter_nsrst_assert_width = delay;
1838 }
1839 unsigned jtag_get_nsrst_assert_width(void)
1840 {
1841         return adapter_nsrst_assert_width;
1842 }
1843 void jtag_set_ntrst_assert_width(unsigned delay)
1844 {
1845         jtag_ntrst_assert_width = delay;
1846 }
1847 unsigned jtag_get_ntrst_assert_width(void)
1848 {
1849         return jtag_ntrst_assert_width;
1850 }
1851
1852 static int jtag_select(struct command_context *ctx)
1853 {
1854         int retval;
1855
1856         /* NOTE:  interface init must already have been done.
1857          * That works with only C code ... no Tcl glue required.
1858          */
1859
1860         retval = jtag_register_commands(ctx);
1861
1862         if (retval != ERROR_OK)
1863                 return retval;
1864
1865         retval = svf_register_commands(ctx);
1866
1867         if (retval != ERROR_OK)
1868                 return retval;
1869
1870         return xsvf_register_commands(ctx);
1871 }
1872
1873 static struct transport jtag_transport = {
1874         .name = "jtag",
1875         .select = jtag_select,
1876         .init = jtag_init,
1877 };
1878
1879 static void jtag_constructor(void) __attribute__((constructor));
1880 static void jtag_constructor(void)
1881 {
1882         transport_register(&jtag_transport);
1883 }
1884
1885 /** Returns true if the current debug session
1886  * is using JTAG as its transport.
1887  */
1888 bool transport_is_jtag(void)
1889 {
1890         return get_current_transport() == &jtag_transport;
1891 }
1892
1893 int adapter_resets(int trst, int srst)
1894 {
1895         if (get_current_transport() == NULL) {
1896                 LOG_ERROR("transport is not selected");
1897                 return ERROR_FAIL;
1898         }
1899
1900         if (transport_is_jtag()) {
1901                 if (srst == SRST_ASSERT && !(jtag_reset_config & RESET_HAS_SRST)) {
1902                         LOG_ERROR("adapter has no srst signal");
1903                         return ERROR_FAIL;
1904                 }
1905
1906                 /* adapters without trst signal will eventually use tlr sequence */
1907                 jtag_add_reset(trst, srst);
1908                 return ERROR_OK;
1909         } else if (transport_is_swd()) {
1910                 if (trst == TRST_ASSERT) {
1911                         LOG_ERROR("transport swd has no trst signal");
1912                         return ERROR_FAIL;
1913                 }
1914
1915                 if (srst == SRST_ASSERT && !(jtag_reset_config & RESET_HAS_SRST)) {
1916                         LOG_ERROR("adapter has no srst signal");
1917                         return ERROR_FAIL;
1918                 }
1919                 swd_add_reset(srst);
1920                 return ERROR_OK;
1921         } else if (transport_is_hla()) {
1922                 if (trst == TRST_ASSERT) {
1923                         LOG_ERROR("transport %s has no trst signal",
1924                                 get_current_transport()->name);
1925                         return ERROR_FAIL;
1926                 }
1927
1928                 if (srst == SRST_ASSERT && !(jtag_reset_config & RESET_HAS_SRST)) {
1929                         LOG_ERROR("adapter has no srst signal");
1930                         return ERROR_FAIL;
1931                 }
1932                 return hl_interface_reset(srst);
1933         }
1934
1935         if (trst == TRST_DEASSERT && srst == SRST_DEASSERT)
1936                 return ERROR_OK;
1937
1938         LOG_ERROR("reset is not supported on transport %s",
1939                 get_current_transport()->name);
1940
1941         return ERROR_FAIL;
1942 }
1943
1944 void adapter_assert_reset(void)
1945 {
1946         if (transport_is_jtag()) {
1947                 if (jtag_reset_config & RESET_SRST_PULLS_TRST)
1948                         jtag_add_reset(1, 1);
1949                 else
1950                         jtag_add_reset(0, 1);
1951         } else if (transport_is_swd())
1952                 swd_add_reset(1);
1953         else if (get_current_transport() != NULL)
1954                 LOG_ERROR("reset is not supported on %s",
1955                         get_current_transport()->name);
1956         else
1957                 LOG_ERROR("transport is not selected");
1958 }
1959
1960 void adapter_deassert_reset(void)
1961 {
1962         if (transport_is_jtag())
1963                 jtag_add_reset(0, 0);
1964         else if (transport_is_swd())
1965                 swd_add_reset(0);
1966         else if (get_current_transport() != NULL)
1967                 LOG_ERROR("reset is not supported on %s",
1968                         get_current_transport()->name);
1969         else
1970                 LOG_ERROR("transport is not selected");
1971 }
1972
1973 int adapter_config_trace(bool enabled, enum tpiu_pin_protocol pin_protocol,
1974                 uint32_t port_size, unsigned int *trace_freq,
1975                 unsigned int traceclkin_freq, uint16_t *prescaler)
1976 {
1977         if (jtag->config_trace) {
1978                 return jtag->config_trace(enabled, pin_protocol, port_size, trace_freq,
1979                         traceclkin_freq, prescaler);
1980         } else if (enabled) {
1981                 LOG_ERROR("The selected interface does not support tracing");
1982                 return ERROR_FAIL;
1983         }
1984
1985         return ERROR_OK;
1986 }
1987
1988 int adapter_poll_trace(uint8_t *buf, size_t *size)
1989 {
1990         if (jtag->poll_trace)
1991                 return jtag->poll_trace(buf, size);
1992
1993         return ERROR_FAIL;
1994 }