From a152143913257e0ce428842a39d3763f8b5848ac Mon Sep 17 00:00:00 2001 From: Keith Packard Date: Wed, 19 Dec 2012 20:50:07 -0800 Subject: [PATCH] Add RF components. Hook up microSD card. This is getting close to a complete set of parts at least Signed-off-by: Keith Packard --- datasheets/microsd.pdf | Bin 0 -> 122588 bytes packages/ABM8.fp | 12 + packages/ABM8.py | 71 +++ packages/ap.10g.5c | 4 +- packages/ap.10g.fp | 2 +- packages/footprint.5c | 6 +- partslist.dk | 2 + symbols/rfpa0133.sym | 4 +- telegps.pcb | 987 +++++++++++++++++++++++++++++++++-------- telegps.sch | 669 +++++++++++++++++++++++++--- 10 files changed, 1515 insertions(+), 242 deletions(-) create mode 100644 datasheets/microsd.pdf create mode 100644 packages/ABM8.fp create mode 100755 packages/ABM8.py diff --git a/datasheets/microsd.pdf b/datasheets/microsd.pdf new file mode 100644 index 0000000000000000000000000000000000000000..7953041a12f9b444a5d2ff8d745137a8638995c7 GIT binary patch literal 122588 zcmcG$1yEes_Ai`-0127|cMWb$1KoIVcXyY@-6g?;y95hPaCdiicL^5U9X^e4#2fQ&5Q-{n1T{ar5*%$W(hTrT10sfgI5P;<$IzRwcmf!pUFgzjZH@#0ZCctm80sx*i|7PJOGI0 zcMLtP1GD~yjVFLV0r0>5c%lJWe$NNPACUhycI|9*AZ7+Oh;UB@B==N1giMU|(l!PT ze-sITkzUr)(vI-SPC{9F5eq|0!Y8Ob5Bb$NnD{`)gP?$j+D$0A!*UgqYbG*w71_>DU?Y8|Yc;8_n+>m&TW0)g=&q%|t4Mon zW%B4Y6t$ed5oY)`5p2Dmj~@_$h=BwP3v)Q-^_ci4vzHwO2*HlBt!oGf#V=nWyf_5o z$s)o%^_jm+`m5tShxbp{Bn<3y^mXiX2%pgM6lQ51BLiE)r>s7W<(;hz==q*_W|l^O zFvN9q4a{r_pW`C?clUZKjlX2^*;rcfS~{scft!$-m6?u_kr2$psPVMd#=yevFR6T% z7IsfFTeatYMkq@N_#@SX&z1N*djj&)iSb#))9DXYgwH5@p8a8>#uGs7o`O%vKrdmS z57GIb6v`UdTH4#_J$dqnxIaC4cI|JgpBaBfKv_!H#6ZvPDa|7007Aw;tm5LL=d(1k zw2`yY(KC4B@Y%8u0{#Hv6G!mLgD0B2JmXU{f41yt2z=`Ie+`+Qfc)2x`3Vz$4M9&J z`D@7f)c&7`Poku4EcN6J?9}L=t}*oT22OTQHawZhr$H~^WG5u|?B|mvAvpjc(;u3+ zo?idDYW$)5PZ#9ub?u&$C2wPI@JH63P2@MQ)w6+E*;(2UGX7ak;ySi=e8xI9gik4r_B~T z7PgRo&;PCSKdk$^dj4(QlZg!U&t^TLP{+dVS*5KS@DIoS!w6nsQ86J=Y91Sij@gq1 z@|Fq~kmouh1pXP}e`^)df5H*O&Y4#D&jdfq`ws)2WBh*>9^juz`geGq8sNX<^L%9@ z1TsJ8{$GE zd!GGk?XzU?lh!|0J@NkD^E_gCg5TeJ|Cao((ZB6t_-`xzMQ8j2lFU!s=h3sCe{r7A zPZ4;gJaeBk|G{}0KS_F)_Q&W?J zqeJ`#SW!`GIf%KOy~Tgx_0OvNKgH{F=zi1xzbI$mAH9H z_}@7F6Ak~l{!e88C*^Ns{x_B3-+25Ng8x4MzVgrcGjg7h`k&`NIsZcWlguYupVvGe z&%8fvf41t0@*h$Eqd(B{TAJzqU$v>{!hVXn8odY~-*X?-CuIDi&ujd<%|565&%FHg zHh`J&>3#h_-v|7C*%$sgpfnhY1NzXkOZg^MRWrZ+(EQg(^$u@SPErWjY0u&B5eo?X%F42b`p20GG%Tpv>>g<2HCX>hTGfoz5HEM+US}G zFg$NtGhsn~2!`hLoG3{V2uNwM`go50==M!P*W(__O(R#86$)PSXX*;ZZsJK?=Q_8< z1*J;8lieL}4#->%maA#TSWRiW&f{k5Cf%IYes>sA!%gOdy!7tzXv6gT+{sGk%?q=3 zPycg=Y(DSVD%q(excwN0sVUVUchIfyZk$pCj;uPZ)^PL{Ow&D~{v!BTq+(6h?MgBb z=iQm^raDe76cxi%t_9%Ndbx64!p93W-N$HO!8tbLQDeFJbU5^~SXq+-U(w09e7)*J zrS{cy{d%N$O;s%2$i4aeyj1efkBP5ql5XpM zI9y&Xx~=B9-#9ZP)DLIkQJi*e(>iiH+!pNqT0|oi{b^oSRc7wIuU?5AESXAwS?|9; z2yI-`+^x;5P>tb4^<{W%1aa|Z=5glh{Gt`fL_5l{wQ|H6gBB+EFjSK5QnM~8(J$0r z9G7Tt_%$}dj@5UA`Qpb#L@$B0`MzF9sgCSr5B6?7HwD4!4KAW`!_fR9J#{{FhN3mS z=6z&GjEeIlFVT<-1*IJ<4vG`^*KXZ}0NRcd9Xc_&c?8@-8iWbG8;?z>AIW=pBJPpKxKqvRj77_$ef*08Nt?C<3-JZrVA`PKeM{?B z$uIz#@R@T z??kL7!tjoY6~{Hry3|)Vv=WW^Bc6)m>OJ+W{7u*lyq}WhB^yE_YNTbGQbBOKr?~kG zpT1D-y#Vm~GXEL~-)=8fw$;S!_rFh>SuzjnePdiZyfvg#RZ6d|dihn_oOI%`FA2lF z8;isXH}uxR)wQACN;Z<{9Ui7H0~_|98eDEQ36p#2Hxl-05HEYe6phsWLGy|(A8-8RiB;6F4Ji5A1&At%hyEZ&0u*1@=clMYQL6rnFk1ND< zhqfSYXfb3+V`Mph3?;%i75EINY169n^i5{cQoL1+VAI)YjHD=zr~M{ zkxP}EqsM(jSfOeD-3GbfeHs1EP3cWVq(@B5(XX;23_(IDgtcm%=Fa$1_-MK^r#3^< zEL8e5j$;j2n)}ygKK_g1I2!EQ^}ggjeg<sV4hTctKW3)M#1 z=fkt=M1w|{p&tgiVb7ufJ!z6Fh2G#i*c|1({>69KV{C1N{(70AGeZ08E~ynDee(rRG+XE2gbNp{s&rf<$o=ap+gI z`jemgsQIenZ5+k_yboTq<6d)!#(9(Tb&JGRBvr!3&SQdL);(LZ++`PVTIZY3;j(2q z$w-3)sx$%}}!3L=aVbk>tI zqow0_mb*EKJQScPn*e=fo`B()MyRAv@5=+n*h;ng?s6rALv8KKWc6Enla?chncbvKUo&qao%UtZ*8a(&3f3ll03 zOQZW(5qSXAkc}yo0KqLglBEt@YSl%&A>VjiW`jgL8&0inmxvla?!C*xp|I~WrqmsmrdbH=P>2S2S@nXGW1Cq>-7cVuWvTEbQM(UANZ+Wd8hS z1VcoV2}7U9i)&3(-AfXyc0mzB>nD}Nb+{(Lf*poevGQVl)n_>Iw8A#HtIRd}F@W#= z*c<<-qQ{=a;hgt+1Ud|to8=z4V+`>w_UtiLVS;|Jbra%ICp6qUXXK654WB?p=ihld zL~osT_%Xi)K=JeYf)%LQo}d3 zLdKEciud(n(%y+=;2|2YNcCF_!!whfe4*_(*K4GE(B@U`T|N5wFHKV2U;UKi;X{yu z$02r-9e?+63=8pMeiUEIjv$TR{diQh*m_ODPfH1Zpdz#sZ=Mwof%TsHM*F66k#V^XQ57va}Kld5G`%9bc?42h~W-yg--$y-)9@@({PuCqfU9 zu=17;33|yRT}Jo0E0lb-T`|f+(d-_eGkA2FEZgiX zt@Vx!BT|eKIUu?Hiiek>`W+V*0HM8Smj#J9&~W`60Z#VgYri&m4D}BD;FhbJTsYOF z72{EnUHu*>D?Sy4-V(Aph*MK&{8$npQPlSdgDK8DozQnxE*)kpz@AS37K(|lJi*a; z`zsTqK%s2{cY=*Ac@Hj$RMf3uW)F*4WIS`TiO?4?U!WN|;0DCK!f+BKqRjyRROaUxrq$1U+3 z-{gGaY|fgRC)llPuFzh##l-(0#5J%eMt|DAdca~{X?g!}7kj5PGk@ihyPn#dk?cWh zkZze+Y*vowk=tx*Q$ZNgpL@sIrKGMXlr+_ZZ~IFMAr^CKB!B2DH)(~0))LCN1_q~i zHMNhqwAL%7baOu&|B_x4R8o_2h#;;< z&EYf@z*00_!8I0f16XTX6d%Q|OL5hXE+K(xeWTb~!|!*xhoTxrUMzEcFh{(~E`4cj zc#;cIg0g@)WSkby$3q^d-8?)XAKRgVQqf;_T}dx3HxkCSxVHNx-g-P%xRC72Q&qp! z9GNh#ozo$O8&{okIAZgPJ#VT}1^&WKysDT7Bs9Hz#U`k7key-5x@*`>m9cHl3Apj8 zlAP3v_JZcK68SOh5$~pbM3K^~HzZ?*tisTgYK(sDu6yLZ8}%_YeybN{avj&pJnolw zCQ8OI<}5#IHn>mbZL4NB zk~gBUqGiygCyz!wDY*@V(8@?>=x#YEBKv&~@_uxg18qf=>xHR{bk8%Zd0zD9t5v8MESO@`NKEVQq#e<9I1q?=6^KRQB)4XH2{9xx zLT~K2zq|nsAqALN&&PlHaFgitDFx;LJIL2E$^2_4kzyZ|)R0&xyS}RS^jRo!g>kog zI@;^1>#C#sdd*_fUh%5rfZP_jrHT>y^|^lV4~V%gsr0pLN(mws%h21=?B>XmNY;2v z{`YW^qo2U`IbA~2hPOCYyyfgnX!v^~aW6uf21$v}hb2FxMeYfT2DF7E_2N9h>;+P{TxXK zTFg!>)-kj7LnOFDm|l-3wQuy+cDS&vmVLvApSS<6jz}OS5jGLRtlAcj&4Er^m~)AD z~M|rJK=uonAm6XNpfu(=&^TC_t=!n z3GZQ&f??aBI45 z$p-+ft#E%)s3y3w@&V@OE!55VSGRQsf@L$VdKlyqgM&E{*RF_r0=>~C3n62_PG)JK z1qNzMB{K^Q|86ngp8lAzo(U#KWrUeqiR^VHv&65;FTTq`qP}O>oKaaaLu0w>u8%*fA5qEkSrc`?P=9gCbgPYITp6g+N3XQN^BX~KNJMwkgdsd&bTjPKc=KLF zqTWl@EuA9|Z$8aQJN~GGx}i~y{-JJ{Q=BQ{!(?$}MA8sWA?$1@dsqtt&{io~V<^E) zi;N-}552!N&x@K}iuz76z2vpCsw8ZEfw6tBW^Sy(<_q0m5#$f8UzlR(6%ik6R)-oF ztfJ?HP>UgP{ZKgyA5$pa7tGRuQm)DT)+BmXC4`SnGM%t&9>_@VC6YE0!TliXSj#n< zw)=v{Tp`1JJ1#UQ*tzIh`xx z`bQAp6$7@NDk9q;%~(+m>E4nV&bC%PL_}&-hrzZ5lCoPt zk3S)!&LK^q3xH^eW2?^MxW_Qu;7&0U&q0;*;&HB8ev(4-6tAvPjFaLpFB#Ug-R4k4 zg85myZAaEyhFr&+KQ{rLL#^Q6qN40MB3(v*KhRiY6lcdKNp=`mtmokZ;Ru<`nEM$o z$m}|3MWzFm+st04V$vQHMz}OAoJ@Jh9h{e@@>)~4 zMziC4%6yvfoq9`+9d~m#&GWv^8v7lFz5^i=r3I5gpvyL& zBrUEtnXZeV%4zo9%*Ns79cPGE{Rw=zOd{_2-I0o5b6DIsg>@Jmy=@l-hy)?gAiWXZ zv_~Xhn&_p~9B(0diBrup$})Uixru^&Vaz)TLqY^j8V0X^0>Txs%!e!O2s zte!}~{(ET5$dr3QT$T~Tpbt0tx3ft$T7AM~t(CUltZ?aK7V#$&XP__iuiL80a5Wi(0>4k)mZVhXe z?MPMYHzHr0xcE%CX6ja?v?04^w`F|3U&YA|CR=QVjUB&ql$t_zJUQZl(o+?RFy_jx z4cLr_p(n*7mpkw14d?VYNePPzF`L#gu|nu#IIe(0aUSEc@>he0d{{X(}#bl`1;f6kYto$P3d|ObY87N7z zYWdrItEl^qQkl&bkba`W-51p|ns4LWL9;hi(bT-DAl*&b>bQuIQ=R-H`LemJ%}s_> zToQuNNt5cN9-X56lvi+;_WisX`)ILHSejH9`s@sq(}BHFY`73o*QR5HHa)t+R2WQO zM)G-KR8BhhEQa9Dos$JLH%TyiRp+d;20`@w>PWQf8bK3OJiV4b@X@mq$r&R%Q>9Dy zyzDJ4W3&|`)XVc@!?H+g$7FFyuF7HT@%i;JY}pI4lp>Jk#?2%@uImj7uZ4$Z^4;ZR zIajd>@!*^gz0vy8&v4P?6i>tl)25B1~fh8Uw*isi0e z0!52{EP@T}16oAsOs^}1DB7tkPTOzM$DcFgmuC4Kri|8AQ}H*hM0kp_^PEbTe*wk& z!s!!)+;B6b5jl04&w4a!ACC-La(TVE-Ei|5n_-4`yl&RseYUczst7(oD8;QoZT*Vu z{{f=wyh_c@ZACZ4R1@a#)bi4tJDQv!HP?pCY$hLA5-}66ohSP|3#&FRUc1(Vi2}l; zPziF(l5PpAx1bI5Dp)U!)l`C6ZQ9l7kZ9ynXguu2kS((r+CaJdqaUjWzN*>=tsgB|O}e3y53R6gp4^s+C1&E&B1rwU9%V3xO~M z>Cu&){$E1WC&B3N+bWwJLe_~-xf1zedQhV%S&NzH=*W_YCo@kd$uzY3Li9w=u!W*_ zEVA7_!rc|$n73eFe@4H`%%wChEBt5>&vEqSzS#BM_a8eb73aD${#^8K>p@?O-48Rq z8Qwvu$!Bmf=gyq%EW8+tvpqec;~{6eh=UYU8jQ8Q=~xR>k|L7?K_ zTl5+0dwvr-iS7`)nX1G~R~0V|;;&Md+9+*lYH0UYwCWBBG|Hv4b+p>g}hEyf&_5#{@d+)b5`uBs-9H{WR+OR3!&zG&y* z+Z#m^7|Y|LBaJB<%)ym=PX`g1Gx<&>j;9f|P;kA0E!sCP(0}!=&Rh3f1$)t^Z%A5a z`Pxq6x&+(E(dr9<&kz548Z8{)a!NDpUZrxuC#c@(jqt9%iR}1^A5%Y}bkb0N=H2lt zFC>qYN;KNp3${zxUaG!bSaOuRx2~dETBq-Qby4wB?Cb}GHp<5&l~29(AWm6B-`5JZ zO1_RxN6V_P1@PL4s`$3*lAxOBmJPH~AxA0MQxEMXwj0v!@AO!nRxR_{?AH-Xp!ItW zgvjqZ`Fc31-Qn>BNf!G@;}@xKH!9k^_*S^QE?SMJIjFYk|jtI$&#~2U4 zGpIOAV$__Qm}h24Fo!)D+{~^@X{Fn9!{b$As0xF&Uu9I|c8+cB40_ur^m(Yrra=?$ zc#stV`s)!^IM4bET_eyae<_>KOdyp`0&GoxW_Y}xgEZ=#{*n$fCI?cpCWl{mSK4xG%Kr(p^a3o9IIKg?o4eLRrf zqtG%1wF^}y1uGJm~8__ik*^}B=Un^J(~Lg5CDpU8%@(9dud z+coy}iL#X?GzT65ye8|DahtaiI}GfNl+Z-4Z` zNUbOZWA?-n0YmC7aypvKCSpWM!XiBi@nwdY*i6uboQo7X-^%H|BX>AIFECcW7LA) zsfw(hwttQG?6#j!R zsYFkaGshKUnbc$MQoW{C@EIMbOC-jqiS`<*yAXX1@gYLMQiG)HeXof zpYMyG@?&dShvQr~z`=$)7z)L;{+5AjUAy-1V1WC}7P*OX;gO%!Fc^PWD2XNTYY2QKZ=vxF>@)cwd0}?HsKM%@ z9^TTzOCxFGPC|3>=FKUVjpGVE&U9ifgKpjuJOutk&1(pf#F@Qu5tf5B%@?*dDROLP zo#f@7I^47*KXY;JHXI^v;hIG&nXtZb(it|%jLPtZDcoJJt7_u^3i?EFOPBa?JY+w) zwVS7vz*B=}-I;4cza}b%QsK)nVxDlOtk!Yb4v3{5Ko=;+<&CBitykwl-b!E2`XL9Z)3jNBY*5sQiZLT2|Ec4Qbg2 zde|?z?nW>hVsvITA9j*+QBpBJ*jH68T9;PM9-A+yf0K4d5Eg{#uD0LpuVcO@?}^io z(I~Z8ukjLCH*q`v#v$6Mz7%VHotfVdTF=}oC%4g64XGr2)R=q>%~SQu?hmn?H&IC< z;d30lY8cV5+Y}2I+K@(Bn+=fem6BC}FN@t|@s;xp$Yg|u;1o$u!wm*_$rHgTBzlUf z^+n2^V$)|je)}f(feMA!W^3Rwj94nS%0Y*R zP8*H140q};gm)0^m^_u@76hbOCobI}4IAA1U_(WX@W43Ghc;+i=cL-k$gQ+{xc@%S z_aK~MevM;NjqbvkQRV37C_MUtt0sQNpD9WcIKPG8&=#+^<{sBu?0jY`;5 zOxqGNlgzj*CONy-nA_6cF!8wwxeF?BD6<;QdD(cyF=+;^1Y=$uvTKvJYQO$~pnx?= zLo$_gZZFV&8!GSI5rarRD`vU_hGEA1Ria4RY9=hm{ejc~jv`-Lkh~>RCYHIN4o0?; z!W3f;a4CdasIuMh6#bZnS#uVbAmXOpsi-{y1x0laJXYCh`AFc?M=j{ zzffL5df%24_wp`U|1G9SyYNn6BwpPLoz>pR8;y^!?_RVuB6S|yPDLMH?`6UHRPeQ> zhM|C1Q}zIHrES!fDlybHuDOxkg>TJr$^zS|5F>rs6)c8$sS#CT2(kymk<)9ksR>T* zk|r-*C#Cw{h#Sg17=LAa^)~seGxLFUclR=HNp^NKbNATkJB+*5xlE<3KuXwPmjD&R zVzn01F3ABVoZKuu<;eh-O6nX=KInB<0=E$7W6C7{PLPls|IB9uKaFx-k5H~N&OT1G zM?6nA(AdlI8SD?@VxDz+IOKenW$x`AAtev0wOW(G15Fql*wjvaA7Ca}oUQPP+3zz{> z#^2KUo*W;g0LoyNXLDc&02(#-Ow0;5IPbcLs%)~7mTTB z8rY=Iiy3QQ+S6HlDW5q*s|mhdMh=Z~+yLuK{S4F&-M_XVGNA9h#RFaRMW3$i1g&QLxWj9G@6-5}s*^P78fM7+<@mArny^=rx@s&VhL#XS?& z^4^r5`xUS6PuJo7jgYmrhK{9|C0EEY@!>7#XaEh@WLcwUG7TT4C~14}V+UUvz83pIM^|*J z1~>@#09z0i9Q*eOtbdl_(_V7yE)Fngo|Da)jSlf8$I7=l=z zJ$-QgCXXb*Gk_6ICL!CEMPyn`yoa8+hUF~76Bz#;?0Kma(Lb4f1jhnRZ?-wYje7%& zmnE$lvHvzyN_7IuAz7S3B*b?)BtPVf=TW>Jiah7tS~ZO7-c6nVNXW3W{X-C35Y+JSU-jKu!8-)b`Ryt`q!gw@h>* z=S5@qpWI|y)}q9O7sHgHWP9K7M+9=@_PrY3Y&d=G*dhVamAen^I*(e{9rMVULCvNL zVgSCVj(z83U<{Q&#|@=d(wlA8Me(q6F^?zm_Cez!7Eacxh)r7GChWeh`h=8YZ4nTL z?bxtSVhrenkc7*H&+s5kuwa6$b?9Qp-0;z`!@{pStbU%cT0FLA`ImV@)=4PDLaIN` z8B!ZMYm)D-Gko!{w2Skq(B=@g=3r2K=Eoe!zruHN?CNx`Uyy@V`= z%3df9ji!KU&)P2SlO`Z|b8&og=N_qhD|$4Zr{99;NP}UUDNQ+xR@2h8Xntw;?o>`# zqI*4JjH0Y^H{o?w8`NBV2~(s|GH6$PsqS%PtFeLSgj_<0>QJL*`%`=b$;aATwE27I zlZo&fSvieXcFNtVV}HQmM(~!+lq>WuYbWgvwVg|C?ScGeE$_W%noE&%gAzr`Y29Vrfl8Bd zLygJkuU>)t9E{=!X0MNE{-_BVT|B# zjNzfdo-Nj`CgesPa;G`uL}%164Rjh(I!S4ADZ)O}L^GR=?lcXVxm(@6bjiriR_+B_ zE537gcN@OBO7dS8i`k-*I#hT_$-iq27c~&s&bM z*}S985(P}i=o^nbR<4L`N83cmaN1SKk9;TVe1j^ke9O(U{ZI;Q?M!~Dx5ruUFe1@6 zJ8PoDcWZ5S_BSwyENud2a=e=d;2DO;zjV;DNeE>-$Hy9$n`B}}#bk7Iw0-Le7ja*e z+;2G^4NP7mRIyZ^>)m8;Hti;l+`1A@v2h!zP+EYQEE(*d`*}rrJKmQ2b&2`B3>m_T zjQ@2562CRu&z5Q6XxCfTOXG&6M7JKE)fprdYe>(f)wR(N&V-ztL}a)2OFh}fM3 z@KML<__%^jbBc(vJoufoo>UhMEa#U&NoOm#Rbh`X7?Q2>v?5yJ)$r<~5?_h5Hv*32 zel`gp*yiTKNS*QC63Q$mh=KAXqp=GXODAfOA&fY4d!8CkTChcgva-FJvOUnOj+Um5 zcE)^7rl1bXa1mX45uK%Z^?Ve@r@8z!JW3Exx{8rdhB!XQWx!wtm~?oZv!i2DYC%$A znQ%0@3M_=IdBB1khtSPuaqMQUs2+1zkuN#&|Rn~uTG8Pixv z<%q>p!`G>rsV=)c`WcNIoLs(EW?i|ziw?ScCRLw+{n|8%$V`CG03b+?iU#LD=;`J$zdl1LRPE8M~s<-^-G z2>wunQSPsh?(BnRik45j2Pqnf11sxo<=+fRTpHqijCu|r6OF_7owVb=8 z%(*i>boKTTQg@=idd8oC?uk69u=<-BLN|9m0GQF)3p%IoP+8-|g{(!LROe3K@^uym zIB$2C?5`WKa3NN4mV%Yl+OB?Hpad-iZoFVcJC`6>v#lyhB^_dvEOP7du!$pAaE)9r z=613|t*$zLe>U`bKHJj7g~plqau&Z~>BTsO<#(fYrqk+0UFMxG1wNkCBODrOJ4^I# zq=~5uDN1g@fRyMBc0{)k(qt5Kf8`Hndbgki($>{2PgHKon0OQ|W;fpCsDkM*&xf1y zYVIGQ-0t$PItOvxljFZBu7#5hmr+MpMEk)6lw*@kn2B2luo4UU0~M8!RA^cwm%!F1 zvV}h>hAO{*(8AGiRW#X(@4}Q0#tqvpayN}=*|N!EldH2kUQJCm5Yqp7umGk#7)nn4 z88y9PhERB_G0l)u)3tCtJUtt-T#i#*4w1G>ULSt}?^dliNj)A7xT1H-RQtcToRDi;w#>QqJe0v_YxipAAeQ zS1%D!*{9R_n(X`76pN3d{GD&uWQuV!C4fSQ)Wlhl9pMu|C6d-2>EU-rCDHvCJa(!93&!S%mz2bEqV)V<2Jp;@fFLZDk8k_xO<_G4$rQvJlH z`lTV44dea!l$b^z%#M4_i+wKj^aCUU_57_>P-A|W(X|~{7qiF?b)0yhZ()|ck)fLE|LNt2> zEj&w$=w98y`JQKv?!?nH@>6JJ4)NqG)J^41sngliS8i@?-uTh+_Dl%yryNw>qtIt- zsL~~-_DzOs*xf{2Wv z+z6pYSs#s7P{;$ue9t(*(STCOawFoRh+EdyG#>uy!)!$*q2`2WBbwH%rox)(IcFFe z<8wchr7zTaNS!D}2V;2_NjonaOJ&+B+eJm=h=%)R-0mEm`g=cIpFVAFwHFE;#_IE01;xU;v26)}gs+bklERqe^y&?%C zcMc?$vZ?QdG|cXSu7Zl&K8(<2FzC9G>sA0V6?EjFv|jY~$DUSmN{;vy`@H~UO=VT< z+$*%}7riLoK!NMMNo;s?6CKu08W2-VW4k;J4Ac?YbW?f(f3uG{K$aZ-qQoLcIO!n4 z_;qD7y(3i+!2v=CemU-XR{vR5FIe=J(Z6oGZ0kT6Bc|(2wfMu)tOeenV7%&lfotvL z1qH|WectElBD~CRPL!kxV{C#ku!A0qR4nFVrf;PSBMfzxOdCF_&lst;Hf>fgLZ68m z7p+Ft#WooBdu#Q!?7LVm44pb7^jX-Ys!1X_(o*tR(W>|^7l!&6BVJFH;GcR~YnAwu zCD+z6pfvkNti0H0kvBuG8GwhC9;<$O#DPyx?EXDOy?^IWihz(Jdl;cjO@dwWAj#i!@-_Ip+Y(`vbm1fMtsnmckY(6*Bg|t7n8P zVxEx=mC_cs-n=$#`kXV-k#`C`GgOI!>4zHAKv~8xGCI;o2@!U1Vvl1O1(~s!7=^;5 zU!gf@J-b}<={ceyJ*aWH?+z|5cyFp=(oAD)>U0pOIHkCEro(uEU#)tOl2nHVA}JV~*pQ0c4O)m05%G6$}P01>oTQvxbJ z?_`_<=uUnvymuxXbI!!G={@Glb#qgpdx&1S@TVY`+R5F3=E@Tgan;PhNNMMU!xk&M z*i$8W75Q3GqCl6hw=RS=wsh-?@UlLG%bc3igi;;J)|6rL1V2a zj7x{>1X~9@cJnH4;nU6V$_^i5=T;taSj8`1AJ|KZ4&59NYc)S)hXoHCV>l#Gba|+D zp)0bkQxtzpzls0sG?j|C?+9Wze@L3-6Lf&*vZqlbB*!Y4D`=5m2Z+v;U^s0PIjJ zUEiOX$2%kh5~PhXvYS`MgcdA+<-k7RSlI9?(bO#pQmNbL@U`D0sE-jRDswD7?2FV- z6JZkaQ;x_BX0!6|aVIddcUOH7B}zyXT@-v{-V2RnJ&@AM*}gX!!Uy&i z%m5(HH*y)oi1r~;_DM)Ls_4noutL94h<4i%Hs|dTe#=h^z~F?rADGKq#GTy{t{?Xe zcTbK+MSLlXQ}VMt?!I3xqLLhd6E9GRc=sJ|#AJ;Fa|!a*f@*DNZ*Vdxyxv?zIHc8p zvcBU`y;P*;O%@sx(!ddkNx3{SoZtI6CRQzWW(mpe5#NTS@#!9#EF&7MdG%A5yx64% z{DL+lBZOHalylLIn%O!EafSJ)Sr!b2vLQ+LF!EkA&RWh-r|}%0mi8QX?Bmp2SS*Q6 zN68ZQ!tJH(O;`vdB}_$*%6}@$eO8f!Q2?H;a1GAoe4hsX$|NckeGKNCjg#%zzQg|V zf~t@cfx0zGk~}w?X{QF ztZy}0d~X-x85R6KoZuiLxa9yU2sl9uB^f;vj_5>~(g-}S{g}jGPy~6?IVbALs|c3S zYE;vK7{M=z3fv@F@p< zSCYrHn!-EjJe@o?d)o6vBP$9((W#@9!lj7s>|Tf-(|Z^?zl8=^dm~n6~Ea#b74lJ@o?v@ zUMQ>>k{e#G^U@w%h`k`&tKb5)n)VriaEdwj0Pg}V&j&9zdNTxIro>OSQRxF$FP5XhkH7q{9V6B z?`E^P*#aOq;2*{cg|az-&5m_4N9ADJOo+k5TJ5529YXNY?69O-8>-69QB=JQYsfKU zNGdT4{tfLnB+JEtLwK@bYIZgMfz5>P`lLb~>ghgTdw2Lz(OP`sdh#m`{1F7bhYsH5 z5>)tzCc%5tLEZqfe9%6p%U{8KS3_g5$n?abk0bpmgBfsei)!zM^p2pNb@NT5{h}xP zb`+r*nXbdRD2w(xSu$$+m^YicQKX4vJ0Eug$kz4q443O29_sruZc8iWAjjje5A{=H z1(=rID#ew&xoGwJjQ}30#uKF?R@D&qvw{mJSF?ImOKzL-qnRWPGhgz8NTMK&za(m@V5bJXvXi-*gMif^^|T`;%& z6q!?L0(hI!0qg?r6?(;MYbmD>={_BBPA>QM`i=Kz0>BauCTPbJii=?tBi@@!pI>Y2 zsJ$=p+GsfQZ&1RUqKofp$uhyU=P^H6TZij=uydxW5Da`zi-%rQ^s&;&EjHM|xAs+d zEr{8ra4rO2*#IwD`kTOyi|XVKj$;gwHZ6YrA0f^s#l?K`-|6|!sw|=+fyz8t-39YJ zcB#ia$`9eS}3jO$YdMhiZ&p;LVfS`{ZD$M33b%GNZs=Tjn37G#1pgKg_Q;cQ}5 zfgyZQ%MW=Tj_yv}xHFC*nad1+cLKScu?*YL(*cC;rA?e!f?tjmzSd=0Y`ZJI;%(0MaWp z!@BplW>=`2y=AR@0h>}B=dfw0emaEFv2x$MU|1wHwF6_>Z;jAhOKVg*bO>EDy^hCg zT0t8|@&(hmV1hdHeGZPEtiQ}@1w9}1IBKVO8f&NeUOxFRAq2C1AE)X~C`IGrv8hpS z8v4wa$OGo>`W;_YtZ+W3-54z%npIDcB~V$Wt7&#+tE=}4LMbXKW=d5z{mjr!q!@G& z*~BmLKG|-G2jK>3g>wW)p7=wToGJIq5HUh~#ywOI-TI!c@{_CEeA*+YeW}l35I8&T z>X=_$2jr!5=ZwR$6dH<5h69DR`@BN#XZZN@Gn zXm?ey?f}P-sK*Hm!D2FG+=e;!7%S*vzK@XB~ST%v3kKrt&N3dVR(W7YTZ!}87#N|0Y}b~3H32p;(S0^G@S%xvk!}g zxYQ*N@$7QlDb#+${lI~@pnkU_20u8*mAy=@`UP>W@ zMCG1>M3ek0TMp4I=AGS}KG^Ym}!ZLa(StX|tFn(NU=gi9%s36ZSyL6C$@L4pKh{yf?~a2TA4kk#gNgz!CSqU0%;CDr?79*2 zXlwc93znOzkN?x%ufP8x#a}-&W@2Ie-~G(kPDv!vy#8%cv%&-%>aRq5r?+ShPSTER z_sB^V{~u{@8Cyrtet9N#%oH=*NzBYlF*7qWGxIew#msih%*@P;*UWIuaLqV*|D)B; ztY$UZ*)Of`4<)r!U8=70Jm>uOvR>>}lChCVVZWDm6oXZ*WGeu^AB(z5m-5aoRjmXww>2!3A#x44CtSHT-ii}y{eV>Q~J3gwcp7CAQ?+= zf0SlbXuD}ywZTvFc{u5nD17+}RPkD@IXK9uu$(!Q&SO!ur6^zKMZSNSar}IHzRGPk z)7n|L8qZ{|`$IXeG0F~=x{yZxaieTS)>Kw9&%IA)X)gmhZ7A_aKyQ5^Lu$cxkn;|s z{&IN(&Kg$%6A;fUPw`QJ{M9~xd6^RrH^7s>gd_WPXY)Hm-SK%DUMY0y+y10k?xeS~ zLxv(W+9ZW-(+~L&nHe4=AG6OIoD52(l{X{qoPh&T#+rcnM0GpPr>?aw?IC-=n!||NPE+0?+s* z-~g`)s^dvQZEMe0I>?h4kDM6jzL$^`Pqnh%=&R7MQH}Y0@e8Q#bH9C?;ucTLj;QER zK+uO97P>ob-dtsX!GQlKA$r=J%)@4QvsmJ1DIM^^o*rA;SJy7^lU}?G*e{T^RJ7Q! zqlz=n=U7Ig=iYoZn#Hq^mO8C)G>>IZeuDv;9WD+xTWCTk!+ga~|B}vQ_rN;j zDH($7&Ay05&ONG9?nQaG*_}nOUa)pbfEaI758q*@ZqH<)SRF2!uG8j87%yLD($3C@ zGk}HUu>KRT{Ees}9YZYKOoFQG1V_wru2lr>pjfnsrOOIj4OYbS4f=SN=AEMumJ6*W zZQowuE56EzUTqgOU&)6gV&dMxn}L6DhmGC1_cJiYaUDI1-b`tJj>5s;4j;aDHn$5Y z3K54DercA@xmdTeylUplvG9^25U0;>2o9Ifo^CYga9AkLN>T3H&on60^@_Bk8|ydZO%VCh|mCxmRgh#T)(4#a&G!DBE(J`e)eI zxD%!chj-zP%(H-8FlZFEB=!emDU4sIj^fffG}}dd@8-dsQsB06P|n)%)WFWs#pf-z zkL;Ul^p+-HB+Ir|?W&E9vprJ9rQi(fr`8|84M$g~GME1Ok*E}U5K7fSwxgQMoWU2O zEJ{0*WicYj4Zd;v8r{bbnhYYbWG5JFCkphGb(}Q0dJMuVY?QhF(358GDSjH%fa^x)PvH&!j*k|CH^M7*F zcAQ+bA;m(5hf?gdeG4}`YYb64O=74{0NUKK+M z)Z;18yWgH2Wy4&-p1WsKs{y9a%bJ1x>kRHa8)pMh#gB|iYd|&do$M$?lW7baQj-av zZtWYAYlJpA-8DVhYCbly*!97bjlo?$>(tWUX)#;&)ypC7Hhx~tjWFBD19)N zAzn~(n1MXW#gLRXd0>BP(pZFtD~s6eI1iN{-Syp<%%*rN4z46aVVOGa0YYY>Y0MAN z6{WvrqX~?~GB7c4`@V270Y1jvU}i~@J&YYPap~eefcCWd$w0wO@L%ju9DJxu=f-#0 z=j>H#MKd@DR9bt=M4F{BnpJzp#O7xPY(&7{mZYSd-i*fUoPJ?v^&e!c&iw)6>b#;| zRD&{u%F4jXUvUtp$uW77)>2|*#O0GWrrlx*bRDoAEzm%EHLDwEO?%a# z?VP^9Vr$PKVm1iUnB9fK6K)Rkaoxsn@~;|~M-RX6R@%mW2qUY$ETJR&=-@@>)aQw# zq**gYE8zz~RpH)$)s9<>`B1bDESr}DV(u875G~jzH5=5N9GPB5S0uD8rdL=?Q<@=~ zwwb}oPTVE%Ejkj7?=tN=EWl$XaWF7&FekfTY)xTLA>Cb9!dwm)&3tclv~GXZ;U#(@ z%5Z4vO|B3;+#4TXigBgEk`+m1)v{Ts*vo@R@h?{`qgL+@;YB3}ihQ}mMf>`%{3cRr zFCXj#7U3j9@r{~lwE~SV{c&y_^i?E_P`I|@<9IijY!{@qMpIwz+zi*`MmSi>d7w0zUkV1!Z zg--N{BBDZajmFsZhjAE%ZJ%w7w3w$uIg^!o7u$W?Y(jy8IPo%=;zX>a3SC#XIJ6J= z_ggcQS3H+iX95>e2t(Q2iV8>HbqYCaPgqOVm*b$}xuDXo=>;^e*Tn++(M73(X?6Z} zgpOy+qmf&XuiVU~IkowiR|1-^^1Px05BCSAunsR3KVIhHtX0H1gWOt#RSt9Z4F0ed~L@*3a^8I><`~&nt3sPMLBE6V_Q80S-Ssjl+AYTyVr#D#dAki-#U{ zl{OJXr-@c-`o|!#`_=)o_wQu>U|#_P1SJ`HC!K>i!9RqUQ`eADod{T4D73e~(sF;w z%iIoit&~?D$kX0VD^yCKHBmE2Ct9T`>!E*>e5n~>(C0dIMCO0=`*^$(`1JH8Q?t+< zR%VKt=^L9^glZ*Iu7Nh{|H8+_BtZ zAon~LH`@Fx>Cl-c5i|VJnX5brcl-6|(0P(=?Qt8q^o}y?j{3O+amBwdA@sO!Hf(1} z)h#$OtO3a_I3qw*b)-rv6I8y{uCx~jL+m9m2kA>u>m>S@2AEnMkaL}#D#rbDF?)x@ zEt%(_?DqSqvZ}ahoeBdv&nKH_{CE>ywhqZb9_u}!e$_Wpy5>XDS_q}z(`$Gr$+UYJ-Iv|wb3;J;ob>V zNEgm|*h%N~GRvzpTA@THq}4ch z?a&ZIQcP7?$Gf$9d+Bl7NV>$P&I(@PfvhKV3DIo6L|4YNox0Bh;L=?-IqSZ-Xi}7m z`{Gu_X1Vz@I!X&1=UZeP+}CfdgyTOD8hjbDRUc(2aQL`CoxyL@`d@b$i^py<6~nR> z@u?V9DiZg2%MX1=S+p^DiD@=7?#7%UOf0l+2_GP^(LCK+kofzMyiCnp++Gq(GQBBX z&EEV23h+%&-*R!lm|DGcXj8xs9%UiO()%`U>BkL%BzQ9cecZKa6KNR&zVWsULz;m$ zBn8_0BozIrwZiJf=oF^!kNNws32bI^@-N%7quVIQA(mlp!ak=MA8`LT|C_h}+cJZx zHXo;&ewK}ogU?jt(RIzVp#e5YM@wCUx3Y3m8O^^rYTt4-ye#Jy(#3D*;hh+-$#6nY zlfI7CPKI{NGjkCt^;E}fXbx70k|`<*Qdnx6vsQX`zjq%SSH+&Z8~f(+eJ5uV>%n)5k{{senf+pQ z5t^{1MMqG7us!E|it*}FU;P9-ic}xp#t`!h_xw0n0f!>xe$2J7$GEjCBV6>Ad?Z-1 zm={fD-EYY7i5)33Fl@R}rYg^X50uiw7SC}6NFnR=Jl<|~;S{x|S}+G@A`a#UQviB0 zIq`Qp0|Ctgn0gs`$Rm-q(+3dfZ&PN9aCvHfiTGJuT7PrxejbGB9HzS7^rYJmg-Dw8 z)VpTc_PppW1u_tH7%Rhl?mtp>X`TMFHt4pT*lEeD2dxeWinKm#r|8LO(Zz)CMl`)YIg}+=r_HvuBLkS?6?In7pF8~tuF0Q7P8phEx2zU zEn~^#Ph1GGj*AF_|=pe%O#e>e8#J7n)+%CXM@3ov|Wn?H8gS<)>M z({(#+H;l&?YCSHgaax!13Y978pe9HKBo=Qf9;a@o5U%}l15lkkvnx-G!n#tJ62Te`QH`K4$=0d(4aHc`{^(xAnOO&-8qv ze0eQxI{aVAQ~wpn`ad^kF>`VLZ<@1QQoB|+7?FdW)py|^c6&;>bp~`BrK&%7U=e>K z->t58^ZX)H+%Z_0f7$@M(}NQyuC8jZo)n1$?Q^YbxA?n6-Wl(V_DfE}@=A(z!cM|O zYjPuA*`m!u0lP0uG6IO3IezR5D2V_+r`ap{Y=M;=@w(RwcA>7mg~I`l_0F7ba?i1= zs639Ly{I@w#eC2%(|Vzp)#ZN2tJeWRb^a$=eDII&E0s^*%S8ui_yO9G|tu< z%J8A(Y+&458&fCZz9t9~3XrC3=nJ?E2fp>qv~BM7x2P|V6zp+dXJK>wa$MABu9;WN zOxBEu(u+F#G||!boP!;pSar(z+zabb1o$?*y_k(jA6;uUW@29uRo3>hys-0CxK0rh zU_DYZYywGUN>j1gnAum2SbaJcmj^6-=X4r)@4$OKXKhsG#C|wlinLP)F_p`xi`CeV z(Mrrm2pb6tZ0FD<5m_@h;nvcLx!B%sp zX-gApD}-Czz(@FuRHL*P#SdH;Lq6G){kI+9Y|c$)P7J}2TU?pfsmn9NwP&6VLeGD_e5E3i}LpI(oo%P+A^&@Tsh6E zq?Kv-4tnged+7Omd$A`Nbl&h-;~QXgO$YZMJZ?Xw)=?T*#tcQO)Dn3XlnHywVD#J>*sRT%rN?Pk<<|0#%v2=JWOAu5?^pEh#a#tnam_@Yt&D zA~j~o^yy}Gt|00}_?DX>;-lO4ZkdH8W@zff_(eNha>l7@`v+gg*}2aSxAIOk)g}7j zj?t~8@8v6ZMwywo?JF;PM*}S6En>rOj3sFI7)R1uW@#s$XzbI;247P#x05#^zS}`& z@{b8Y2-lqd5S=Ku-o&Enw(~o_wnr~`feviuXNbB`u%2%}z%OXVmi8>35P_t;9Y0}~ zN1<)Mq&2kNi_XVl+g06^fDXtrU&-p&^2&Lw?+@8$IIp&QD;qZx-tX8DEA)h0Cz_JF z4k>awm04*jVS!>dPuuWL%R|8syFGGvTAec41YEAPJ+sEWdsNgfFQnM;d`N+0aj*I< zPNPo|%UweA$sc{0?qbJwyaHrLTs-c2XAf1QP}u#Q#_aVItJ%V)BBxxs*slyh=$T!w zPx#*YIR#s$Q~W$~Qc?rguVT+x1%qp)I{3i~O_cVJ>PMU1!M?XKC|-mwFSTiobI(c+ z3P)tYcqj~$#o!ZGhuMj6FI-0ej}Ftq!o>hC(N>&Yn1k*1zj{-YEQ6xGkDLuIz48U? zStas~Z{10x@kDIpa_KT~qqiAJe^Om#^mg$7bj z9&EOrV2jf=0>Wq9);YnaJ=#=2U}%7 zO^SMJm85s4vL6^-q%WY#>_+t-q8T{lhEXiav#~&|OSF$`IaWkv>2)LI^jA67D4BXm zeDU_YtIHJu@yw15-$ChZn%YP*xE*Vu{Jh%|4T+zFkJSU$_^4I`)*5T(?1YK3xjJI* zpH({nTWDJtU_hFA)XQ}D%Lc`(oew3Y@mY-Y(jgL-E`u)Q_2bYinVy6?Z2((oOMA?QkdoCrQnk%zslO_CW`2=o_J5%S!b(k*;Ofe zxzuS@{I7q$)rjs$3_f*Ush3wKx-`*utHS~*GyyGykgAT9It#=)7RX)x=<~_`@S3so zkhz&}XqpC`DgT_rF1j}t#Q{P5dS35itsnUp8twKt;Fgbnskp&fT&U2VDwB|V6A8E^6(GxK1)zB+ z!^HQac<)M=BbJ+=7TL#SCYa!(XDXF01eV8to5MmO1Tw)L+F^ggYxfl4ZH{gg=zP6b zkeH#Xd!?OJ=?=gZHTQa$Cb`Yf!liR5za7s8er zVse5+%+-J)oPX=K;@^Dtxora!^|^ILER{J$7XhvRj9 zfB|(i$27$B>$*L1>ec+P(w1IZY!y@!+Xp=B=PoBw|7hhl))i!!XlOSZap>uEYgKg8VEnu3n=~x|fjB#6J=3m$g$X5sokv`S zO>XAU_LRep2xfYAe^LK-@rttKldI?Yz2RL?D6|zeDyP+Q&;Zf1%(K&oGDvyrGHdx& zmQa(w!+ec*VT+4&Hqtc4dA=s)o|}35Ea-jpXPlW_L2M&v?mDJfgZ$E2`_*V~r|B<; zc;;Q(AuAn+(n#6iN+C-Bk#vqM?j7u8n(o&;rJ;HnVWBbh4qYGd-$Z&|_qBztp@6tb z9^qr}T~&oV7HdUVGp?dQ#VXkw{|!#;9DmJ5tYKqM<4+&+V5xXHPE}T;Dk*PE2S=e& z-|X8N_jneq{e0%N^Z|6SCSc*iX=)bBjxsay!9d6rqaMO)5g_`llgwU@BdR0dHw_ke zT2g>(KP$gGmf*qltr)n?dDutDL(JLS&NGD*R)ak+w0=s)d{ac7DqUW`?j#ubTK`R8 zqhW(DKFy|h$DVhV40lYS-@DA~J2kG*wo@F6u!Bog|Jnx$Mc2qT3i^kfakdGzp5-#@ z^M#Hd=SwBQ_oJb6`|HI)TYF|Qklj2^ryuQ~!&A|CZkNa4s$BWAV<#pXwcuZ2fNeT{ z5@DD%R)b(Y2IVCWHrsm`Lr!&8IZAIwbCA)A2bgB>7ML_ zK@hy=WTH*6`9YZlz{I}nmGUX#H--B!{Y;-!u0ubH0GC_z>5Dl*{@Gj-b5)i$s6VS6 zCl8@1O8>r}#em%Ogo*X5{a0%9*ggtihybU_M1qBxCcP{(>oRqJ$@eHA4<+`w{~CgTm)mb>&L zR?lElHp;VxwgZPDaaCtVlx7PmZpC`a3HLn7<^IWw@m0*<`lX3(wcn)|C7Y?ki%Ei4 z$_yFL2Yt6ea>K45P(Ja?zcb#ulCHf8yE?=R2}{bL91E6y>ZjN1jUZ&S}Lv zyn>M9ET9t4uNkc6GwbXDG0|Z{jyqtu9;1%bW4Ihh;&DHi+&F=nIZI;sKidnOBaizg)78b1s!42k~G4cw42&VaIcIi0r)bcp-Y(Y-q zJH7ELlYn9X3?6?ccSo7h}E?as8+Y+w0|Pt4Nu& zruD`f808Q5r$~?*vx!xfyejbsJJhS+o~c*)Pb1$DhWpt{ARJI5JIu>$uZMU8j5KJt zm+z;f)FrgBe``_7?f|X4IOJv8HbNE8va}TW3d5ZH<~DK-@&})qv|ix`9^9$}Qc|F( zV(4hLSdTaZac)nV&n9Zqn!?urO+!SJ&-$W0e$SAeRvoI=sIWSpZ(xQfjQ{+Xym@Njsel#3#32M;Wfj4%xRldB+!aDZAmQ67T;YrVA zAsBRW?rN3NEahK9eP`@OCXh6YuD&i@=yfErVWdLj$z$D=gv%NZ)lHHa?@p}0Dm>?* z;*~m&TwYGbz>PYEIvcXpk)(2hDRI)?NEOjssvOl*;_n;s&P9Y^$(_II+tr*3&C}I( z7=YO0GXKgI3Z)S7I1&=oG`=&=vK-3DM6lHi^C+^QTV?B>{!g=Yu&)`SYlT6+^vizb zyRQ?h?JEvXYL`cXz?VS^JYG+x6ChhOschWK-2l(09BH(AGP%$FYHnM}1RckxHptoX z9%ftFW6uHejz=Fm0XEWu8^2~!#krUNNdH{9S5Mc~$cfagzGib6+^cb}`3#3zEhO$U zSb-J^qSX5t1-*ZQDR1l--WtIDsr5=RWm)`5;H)TSNM9|ovi}Y_#inhK4t9x}7sdPb zCr%D^8Nfr_itSDC3nu{Vvr~|9eP!$%sy-Ck6~d$gp~s8g__Dd!O6sN@jv%;?Cv z^e2uT=cWIVG1a7F#sQ9pefOGdNj7_N0F0Cy`)DP7Eb|SxFFU-9GR&(Z-L&>%XoV{$ z&g}Y)fK4dBeP#L<`BARAsL$S&16v;;Vaa+%ZIx+l{avmMf@e}c5F47&;Tz`x$=7Q7 zTlD1(>w}j8NSv6TfnlA(H7cPv7fiMoqkpz~$pbL9C5}YeKJZW*cahGwq|#24#-DOouipg(x8HPqg278;Gd7BN;Ka;f(CsgP zGpgZR#&lIM7h7`+tt>>zuq?S<@ZOU6r9z1vv9+Kzm1}Q1kic@?S}D`s!t!D#1Yi4) ztL?57&RN}dz_F4--J}UE#J^t^PON)<-ROgQg7?CO{<-b@qpwNkhv6vFl7E&A_gc|r z`CNCSgJ~ut(yO8il)vi04Q(bW98XAjO|Qe2?_U_9xAva}SrF$RR4A-1;5>*7xfvb{ z9Q%BHs1*>E;9<|E47W5JMop@_e>*&lmy&q^+yd%S`rG);x5H~+xzVZzo1JvlnQ=@L zaK>F@Np*GTH(-ZYs*9%va)zaBaG>mkm1G?sD~DIM41NDi1# z?(s^gGI!Ne1MLnwJTO#NO0-g!iRWwN{dtETE!g4uRc^d3!ZvdVd>uUg9h3Q!;L2_^ zw}R+ug=)WY2;IQSr+@EYOiIFf5XSW|B{#XDV#$s^x_tYSew+U+PJ~bVcdF=1*_7A~ z?cCah7ZkWxFTW`)S1mu9CdDEd;D@Gq@B3}Tg_LKHj=uLPYBGe*<|mOMf-7npW9l?+ zX0ROl(Cd;^>5*$QBJh=)Vp`)SR@J!yhe)CnRApn|>5D(5q$_Ziz(!TMjvQV<0$!IH;VovYLMLJ?65dE7hax~8f(kNm>bYt$;=Q3P^aKR8HE0bebil```8$3{*r1%bxXEIzch@__t4Q zl+Wc3v|+l2P=y0rKI?OIw^DE|X!td;{H^&PR%RPv48~RUEi zm2(}vzI~Kr#`e5KZzsPpcD4HG{Wzidon25(1dWt=p^mn=GOkexgopX$K+LR4`35xy ztsPhwnh3co!}}*fy?V!vVjs;g&fWAnk?J6u66kix*`kz}z>nlHCC-NrXtL4T3qm(Z zdLhOl#!4zZ?M-JIIay1xJ=Dnbi085Qy%P0}$Oxj@uK9Z3_cY~{;OxoJPz7uA@GOS2 z;h~J@L2|Zf%3Z@<0pYHPLS8szSXk7RawCDTA8A?kN-s8iWooP0RP>f`Ohe)t-{v5C zLRmJuJg36lePx7HPPhRt+PR>^1j4#O6pHil!T_z}eDk{QXQD;$v0LUxQ?s1?p=T;E z@%;y8Fs5n1BR_w7_8rjj%XAb0a#42&*(J9O@RV-oAZ&+-qUB*+mrFIsGGxt%Tz~; zCmZPKp@Lj2xFqk7mS-MM^K)E@HH672MuG%+zx+h%^B$SzbK^%QbWK%g<8*M?ueN(; zIqYWVD3t+{Fh(E_Nb+3`c>5;#;mb4X)7nlU=0GV>htPSu<5Qz~shsZm^zNWxmQ0Vs z=Pc4^W;MP-KE9iI_g(ONiGfZrKk5ki>9TP4H`S{u6}~4$pI-{JyqM+Vi-L>(b2T*V#+Xgs zgUHCMuq5lo>=m3ny@J2B2-7WgRHGG>S8Y|5v+xaQxy*4w`m39X^2>y@@o<{`ytqlZ z71?X^T?A*hrJrm!6g>@C{_Hv5j@M@CLAPdaRmp9Kr;Oq~`((eQ)6LYmMQ^`Jj+x=Y z?8=`TzuS))+!#-yZc2!g?xWAX7IGKLvQ7NfgYjxxAc)~@4T5C$ZWd;v9Ml$1QcfP+ zD9=#;pXrpTnUKAkYFLK825$KgGmPPa1T^W zk>>7!KC1_2D+J$nS(TDVOsKIH`}Xz*Wg}-BPY^6AreFXC@2?a&G+`efj_WhKV}5^O zxjEQo4<&n{7C317W6?9ocBGU18KNo+9BLg}!3f%n*2FzmH*0bhM!#6Q|LW0})z&37 zzgP)erLtKx6f)4lnLP5hcG`aXdwswA5?sIk?!%{og2ER^)LCGq{-wb)i}k`)rEMTl zjYqE&@?fhOsg+q$H7h8U9UnDKjJwA3dnD*@ZsPkAtdHKN7Pza+h3b15stBY|CAWBv zx3~^{Y}KH6+Ee|pGQFK>0ulVO6m}}a^Ik?Up(80kAOUS?D?G5&m-zfpIkV|zoRxbS zs_(k?wn0rjQ&#_H+)e%{y~#kuxqL=du=^3#woK;Ksm4rX4!`tpNI^Xqo874IWppF! zq5_Fer!J7!mJH#>kSEzX_Y!|Bk!3RwWaG5!2MimbhY_C%N(Y(|Z^48i=g0Xu_`DrcMDQ}n!Lg5>17QGbYgx$vCR8?sm11wGH^wRSD?gXo$z zu@pu35^q@53j24DHI^qbeY}Ksg<9d=xg8j(28Z}cmyw_1gT4x=jylEXfpgCi66&rr znC#Xg0yaJY&Hl*M<{p$Y zbX2R6tGU13ces(bkN)%GTG-O19AJx!V4MCrRin)foFNcPK_3(JYhtl~0nJJMXymYS{t`zUeU zI+e3=Vyh$|v9-MM!TR?mWDvnQdgdz1t;eeW##xapxleqR#OYU$m7DebR@pwGfZojK zfD^*!t}6w7oL+E0e;>=bw!JN4SdT`&ZthM(ECMI-h8Ocj+Z2Sbrn7_F07 zun1iZ${!ru+I-)7GWb~jQTyq&5TxfVI?|@zBHpa41u^&sn0G!q^t6TiPGdbwgVySZ z<{Cx$6UafO8sCcnN0Jz>v;LJ1a-b=El&~kvNS5sy!uPZQtrirtUfaio*$-LAe>^rm zuhyS?9;_0Y!^j&fM9=*hRJq9s3hRo|AD#4fIm<_vAyCJ2!mP%~2B1bahlfF#<6TmH z^lEXUbZQ*|nW5-oTD7m6TG6|;j%w64^BCTCJum5}93`xSGPgW@v2-u|?@g_p#=PM} znvHwS*6!Tu;Sm?qheKOeLr?&~%a;wj95L;)!wA;!uVgnVCDrBNDXxjS^}RPcnx!@x z08T^J+j0iZ{CVMXQ%vTM_&=H`Q#02*pj#HPe0*r#1?^C`EL5}xQ#iBYKFZ?A68%UuNZJokH-@ko$T-ExOBJxejPt+?@ z|F%ZplLspmMzMOxK@S1q=(;mQDDcA*6Qxdkr3N=`>S@_@nr;TgK~_C>npsa!dkTB5 zZm4!mx7Tq)}ks>5mq|EG`_;`IS)`{pT7Wr>EyMtO|jD}VX!94&5DI73*agL0XE z)R~(~nKrrd(Qm>Yw>dknT!N>(Pa>o(Tyf)!k!}PHGe=ajczHw$w5;;dw7+DbLPthFNittP4v)?$V3j zj)AVP$Y)Zxx$UHOiA-$)@g1E25ulU$XL-@ThzTyW%2`}BpJqu^?^PLwMoYWLG}i{v zgbnmi;W%SIl0n0EoI@fyHjGl$eG@t+!@>$f3PUxYtBVN38kFP57baI81hYv2%KbT# zOVH@lc2QGM`%eJWzZV{*`E&!`T{ukU_4NZK{ObyaA${l&Y5Sk5@)D?3dn+KUYl}*6n)xp#>!cGMLZ0osI+iGUJgui|y z{;%oH+W84l_|(QPw|zIxI87p1uGcs%y`jNIOxMIpSbM_3V<}iGL#vpL7Y37BuxeRw z3c5&jGrAnmMfZsePbxQLwCG9gb{I3?Zis1y=UvwAS6ur&bDHmvn}j>U*4`T)dx?aW zHt~dP_YPxav+{`QxQU;Nl6h4G4@F)!Y{!tJmR;mk02c2Ln-(^^|A`{T8LU3A=2od?T%v8B)PR$++$* zY#-d?8@3!rImxGj_~cZVz4GE6-rpBG^Xp_INSgQ@W+ zDnXgF?hpeZ*eZwW0nIl;$4f;Gr?bG`NXC~MnjP*y$I{GYl%puev%xT-WA}IZol8Qv zbmO<&tcw^klJvTJX8av$(Q@=(BS?M9|3nOsSTc+5%FRCR;AwRlukZ_a>>Sx z>4^r<hyiH(|vSibiiV8DSN-Wlm1)?s;N&YyU6CqB>@b-JiztH?Zgw_!$RvqZ&85L?lDX#!+&x&P2-;lS#OY0 z<#Q(?V47;O5bR(MWes8)xs0yssHvVZb~M%_ZCPnLH}M81EezbfmpJN<(|-lvYC=oX zgxNd|ah~)|4CM;K5V0E~sVn&*XSX=c#V^;B(@@T~lNOd;^o zftd_?I`*)sf)U zr5pB`;k#nwX-+rum`x!^U7lIFTt{o5{G`fQiXN11z1k`XUuM>LJe8|e&_Aa)fSJoV zA@)lCwpKg7>IkO3$Nyl0YO&!1dYcLS(h8-*!-7M{X!~W z)8OdilE~rJpq>7HO#fW0?)|0S?>WLQQLcN~>#uY-Z|;5s|Hbd4sZr%T7oyo_4;}@S zMRN5uZFOuug(f{3t&^ygK|h`8lL$Sg#$bBw>Z*qNH zl?`2v=V;HJ1IsHc3JN0TfQ^fXeS@~8IA^&>^qhi8D@492Jqg+ouFi?>!6>$nh_k@& zjtOS)d%DMPz=W&t_M-)eiV&IP@fUa)~@Etj#G1-H9uyH)|m0k6Kt$mO06Fw}+<(rH}d8K(im?T$TH z8w#8K*v@v=G-G{Uv$k>7_>ZsCwt{>hx!apG-X${0zgWsk)^vqD4loN#J@>82r^VQ> z1j_r^u4~SL>a&-_Fj^1Ob^!uqE#R%^RnNc|G63KVNDlD3hVc@`xAzjq-)35P?zF-g zCW$#qNbuO`^REzSSCcx9J>ILQx|@f6DJgq^JU*r* z&{PoXR)Q^^ZAt9KzsTl#L2w~`lj_osdOk1v-w68)pg5lJT^PmPg9VpG77OkYoW~^4yM@nlZ08_I*THUy9uGrpnig)yD@L;PS2$L0Q{M29dmq}ePxp^?9LCA@vYt` z8lzJvr^f(jX;7AyNrY2P5ZSH^?zwyBWv4WcNq)Qmd8=;w@dtBEE+qj6f@Jx8tPhe3qtF9>wf49|R>LXx_rLe*|tI~aa#~|S5f&oMu^w;uAmrw-j<&oEn^l;?sjjj zH{{9Wm2Q2=zVC%)jl(J}KgAn%Y%dB&BnNY3WGmf-tuWeF^{g}obbmuOeHUpeCy@q| zAUxfKH!WBejH`E+wFO4Io^*e@2|>8n|dcWeP3?J?@5*=!|YpY zM{b1CdDhFN*a9WKpXe5wyuncXf@5rYsN}%}YU?Tet;g+{zTpOz3g5l+$}4n;!9@$* z11F1FdOGZOaJ#=3w@|7;?-n>!bwEQQ3{ebu*C$s`ZOT5U!)Ow(Fz2Kth1OHcGJ$E(cjUgvJ8 zNwn_GF;tZ`;rHgUnzNKG)N|10x3Ac50aA8^(`IG#>U&V+U_|ovIDK=l)|c6kF1Ijy zigCYkmOCIh3u@X{Ax7#R%jH;ecpIr-DHYfzOkUE#hU}`Hc|Mvh2-n@pXl=VFQ_1Au z$yGp#;6dKD%Q2pFdV9O{>BA`P9S8LH2;Cd=9XxWEprRK zx0h|L!H-Ld^xI!eB-8dDlACFXsU&lh_Sb1BdPUEmK=e7z$^j*Ia*f3_(`S&|WBv$z zW!-R~x<(^PL`0+qY93LOee-}xO6BUz+Lt~A2lcUAbgSeVqotZxZ)qLa4SVfEc9TP1 z2w@|aj_A^@SZ|&z_F1#8Zni@$VN^gZ|-mNCwdo86;5~AV02IBe7 zjd{P;uOeTg2cPNI2bGqvQnJqmmg84rH@P@?Iy(we?e()1HM;#?35wQMGq2Kq^k3fp zuGc4XGxFlGz1@u!Y2ND$G{5TPG>~8;jo%XsIwg-~6(L(9Edaw03&8?fKfT*b6ItWJ zDnePi7-$DaB1nWDp8N*!nVb6k_S;a#_+& z4K%c{$<(Q|_nib$=~uMHG`KJf<7D`tLmH7I&$^;&$=951x65AbloV+U!IzTwA>~5f z?PNnz>p&0t*qj<`H0oSfM)GBza3{XJ^EvNyLs}OCU3G7QKr`*=d5n_J30Vh*J!#)| zbpDC_t=^bxSs70LEi7biAaO51HXn)>9y05O@pKJF#v4tO*c&GFS+q$}hv8me=f=lv z-j0`hHX*8xZD;#9nI-i5w!vouQza%>9WH?-J^~E+r<;r#^4QeL&? z?QMEkgBNJ_+j(e3mC5JtvX#4bQfta3{4$iM-NJpE@Z z3nv3BYxZ+t-PHWYu(2x^$T0~l5#Db+qRJ7iS)VLqtc^eyuuxA2a&WR z(1~rT;Uvl>YvTDIoj(^wcX8}~m(Ao;?JW4*GMJ^0sEF@vO~(6z7nW)A*DF~vaD$BC zZd`L2*9G;@3?iC^X9@;k^gR~&$J|CwZdRmWP(D_(vc!)3e zd0bk?A_-$IxO!GFO+ky1w1d#s06x}3g9)ip3WMK@@=t)SD3aJ&so@zVd_Sw+kl<)j z)AtN4E0>~VOnI+#&<#ZJ_@$3v-K6KklZ6UxF71lrxc?nC;ksqG`M(pG?js?r{Fiym+Lv~8b|K_TKhX`fX{ zBzH1x!l^u}yL_h``e-($HAP7sa)rbzslU>^A<_y8-$nQP4^~r*CB6Ourgl=C*41S_ zryNDenI_L~c}xYfA%DhMSCLTcm@Csf1BXBu=Le)3$r;^klFiC+hlY9|n5Io_wkOS9 z4!EwHznJB3g6C~e=n{mXG3U^RY9kl&2&Na3_RF4auDnE70M>i z$vIxsnUiYka#%!M?>%V#>N6%Z_A0XFUX)?X`GdK72l6AIkM4GnYi?XtHrWLAbV}q& z<;6}KyEvOVakt1`Zma9yPNbGKx&sz`hmwW{#L&S9Z2nM_DyL8ZdEE|&>R>M~d0Qsw z-ru>(7p(xCzN0r0NY1{E=8nqcbb?pkflXePbQx zB8Lusug1Xs5ei)DgRzq9cTnuL@4R_^!Jun6syNF>vO zUFYzQOz;KbhQn+`jS~ktY>U⩔gKzwsxJF4KOp_1YX|{-x1tmB8xK(_Cesk%6TU5 z{jN&>GC^voa(9RcT-dB_j8Ad9?hkKtT!2C~4w7}7sCI7;PAhcCQYd~EIxcp)l+fN^ z&(dP_5+u6Li-q6Fp(IWE6L%h2-nw_SvP<$A_XmuW!?PM>HXZY*Ye}jqY({R=(ihklfat>nn|4qPn0H$ zm>&Pb&^xBLT#;g5-ycIbS=LOu{gm2h)X8ulTkzGWl+nqLHR~S%r$W2TtRFpf%C*mWjsgs*~CP+ROois zb43a%wX)=2t}2y0uB5x4bLGgNfN_@8i(F8iHq6_jpWjd5a-Jn-1RFt+-Q@Q63BI#r zGjF3jM4;72%j~Z}muQZd*B}3=Xf>p5zs=f}byYkYc{2B7sAOL2 zg4RVf8Ta@}sQcS5GTlv$Qu1^~#73o8X`h~e^cz*IvBztEnv?VPw;Ge(eOt^`J=>}B zUr<#wre4)3rUMHq`MDfhwu^Jc!?Ba)%E@YU@8Vcb{(L#R?OWV}zIU6yno;GhccF1d zy;eYaa#9v;D1&1vqH@@6eAn^OsiWL8i$2{?B^~JGpR;=v8L0Ckpa1^A(0s)4@pa0P z6>zx$E_))Tt~i*T4X&L`Gpo%7OSt6N8rEeg#!6`FA$+3*F)GarpNqw1;RlO~rIPG% zu*T15l=M=C*=9v1)x<LW^~rzol-G}eu?9W*_4u#SF_`)f+={!E@lI4PfJ=4}h_SP~>Cb2W`Othh#I zcC8;3;GFuy;IJe`V5r(|OVDWFi_Oi8{__dWGdI<~i4H{~x@0=I{_IQQL?khixw}&( z=8sM713hLdl~ESjFZDWBekgI|7mqLoa;4#BAu5(~s+RF!lJ_t7>W=7D-Swug+2 zKR!Ujs5M^)@H*0Biv;r3F{~Lqf9DNb3CM(2xB8_?#j@x{Q19ni#i7n=^MGD5ieBJK z14N~=fy@jVNjWahZnC`4@@5)@t~;Kv$>`7`rYLg{&`p9}c~J>LkWdF|MmTOhwrkI= zaD8{u+{r0=Od-$AT)Q?Q@|1~_n9rsAgliRC*%4bXhS=oy8%q-9h8Sc} zRf{65qUTh=`E|USZ#&d!tJvRqdmmRuol)N-B%2JG-pN2L-fnRmRg&MtDnqpwwPSB(XZ@?8;C zqk~a7)D>-f?kM0R8AA)|>saP7YFu~KSm6f0(e2^bgrTnl)E1a^tg+=M6z@$U1@ajgM#g!ejj!R8A zbFWQM3|DIF_uC}T&V&-6&Im4w!{dxbDX&^Esvm_7SfL>vp1@iC>}7zq*kjNldNu&m zi;*`|`aPSE_LaHp>v~?<0CDY@9LL(7y8nj;4s|a4)Su-g1BuP*d6;iR zDg$>i&nQ`gE(~pKzXmCb^*;K#DsCy~r$)JW4!gPkco9HT_jBWwUigKkY-_x z#!HqaAt!P9bJV+NqU-86$-LR=W~TS>;QLL<)Qgn|H?&Py-ao>;aU6rMZU5a=IvHC4 zr*A0(xh4{IG8tp;v;ww$w_CtYaH}jy!6a$x%ha2BKTXiwBoYA{0n2%dQ?IRw5j7p& z&Q+DEQGP-W=unT=L{a2!OL3w~gUXAWG1q`FaT2o7)Ck@jaH-jRMvD2j-f1}} zPELc4wabUV%B_Qrw`~qpAu0{M)SoTkq}|s^)ST`5XI3I*WR$?TtC+FJnfA~26#}9N zM+NwJsG5AGEPEG!kxc{=nz@DVg8{98u!?%vn4r0)%*8-~H6Fb`7k={U1>{Qqgw}}DenWUjCxzX=cO(LF0%+ZIxo%$Bwgp%YW z8iFEATO@2WkE+Y)ijOwYNs>W{`kaG2Hc7)Yum-3u&bP6Hn*nI69OzRH!d_gJUyUFW zuj|)7GL`l#lz^^10$R2^fQ|&#qki^g#(?zKOg-AI3=-D677^o?vRXf8_tjbbsFT<> zYGFtI0It>91=qd|bK-SY@AX^Rneb!nBNoDcw81IHys589u2pqJ4qjkX3( z&mw*vA)0aD6Y=5HbtZQ6t|J0Zh5-0BGX5gym{8iFYMJypF>HO^pG|?`>Y@BO$U~G& zLRi0NBi&A=Ir#NAB}P1wAoY~Ja1ME_{p-Ehv_EA@>}Oxx>(|}Jp03f(J#!ZWokp%7wqmDwlE^QG8=BI z@j7&m6rlxEERxu_k19n|QTkDnu4{|& zf(|b$!`#llhmQAZl~*A9ZF{%17ydT-j!&<9p~mrjT5WwCihQj6$w)RuVll%QG<$S( z2()npb#U9B92mf$RUC#+R?qrf&l}{5ui=5SD4h&v7)-=Xs$>C?2A(Y~&TsuWhgJ6x zy_$34TZ>$g96cHml3HQJ7UE~Xjd)h>#}&A)NNRjFa!bZKWvza0Y?maC*(O?5yJN1d zfHyhMx!YkC*eUP#aAEsc_vtfte^Qfv=mLsd(lGG>Xl7)rKc`~%vE53(oz6=_B$H(9 zpQ;V27?Q`T0~r<5%gYBncPs58tvC<|mcLe&-V@HSC{(qW67CnP*kD+Q@&2+b3Xm2+ zP3&pJrQ>)910lszm1HT|Qz#q9|RvnOuThdO1+O3-fI z9gC>O$(#eC447~J)HsG{6wAPHEa5^nIOLK|3E{8idBH^=hgfOu&;1`41-IeIrN8T- zH%Z23ed}f2HX2p=>iEZ%i=RVm#?7sT>37a6i8ONLAFZ9v`3f9t^cNc>Rwc<=SN&nd z|29Z;1s4p{{-P|sLL%^Kk;D2LILeh3-M=J)9({H{_(yLkZ^Xp-N%h}(F|}40NM^K|e6C@*HeSlH zBr@08`k8~ro@}td$4b?PN-aBS$KaY?{uTPIf)a>3Hf(XWCze~=%#`=-EJ-4-uTE5Z zUE;D;Eq(O!w?<|nqD4tBL*oTw!aBp#>A6HZTbhmCSYI+d7aeQXiZyXRbpCY7*0w#6 z6rA=~kWh#Oj49VnP1+W&mcwz_%r*68yRBgbqx;DVb@1H}m*EuT$+~q%eUZ=sC;_Db z{Id^P{l0_`1%==5(lL}RNvRuyaL+7S2NjjtW!Q_4yljD&xAZJ4Q$hzTmu0S`n8o~u z;u-_j{0UBOMl5a~o%5L$tu@K+cHW|8b}O=#Lc*GQ9~{$TxlQcER(>=iPG@L&XVhEs zAEfU{lWCGZ#UcjKU=He%MN0hlcPCguXv>Vb8Hac|4Et>tTJRc*%oEDN6DmsZyA)ZZ z^y-S$PbUZd6DoUCW_xPv=D43L+A%AZF~ow~2r(_a)b^J@|6Mvb1xc;uU^iby!Sz;R z5tj8^I*^TD%=W(j$Fm5@O{uuHfj+N^D91=;~Ng9l9Z@b0XNJC~gdh976 zD0`L3C&+ZC=9J&6_2)^-rK#U_9b?8*K>py4H52mBGRB7v8s^%Z%M9e}gpZ+-A9bu~ zlSBiH%9AZ1CRsGuwyI1?H@SLaT9}@#Nx;5@uCqFCvpe)l2%mykmZptda_Qq_h%a zd-@RB+*L>ZA{iTZ6coqbAeXx2JcInlrQMX9Xu2b|y=Rb@d~LDdS+dLK8fjrfvuv_u z!hvOT4<5#*wW;YF!0P-rf>NXd5^9K_Bfl=P z^HM5PA?mW~Sn-Ff{Jl0r;c-`TUfx zAnAiq=F>Ob?cBkqwr{?T7Fo6rO<#|lNs@EH-96{4{DZ1wLCkAj6OCuM2KnJ>Nrb5; z3BR_Caff~v>~E^>%K0kcd#QTRGCx$}eAa&hB@9%opV2$sjI(ysOC%@PE4&bnu(8)0 zn(8AOtLwWtEYBeTGywZ?iaw!Xjo zs6V7h)E}j(j84PjrOo&*HdZEKb!x1f*{Ch(&O1+L6P>oeN?YVR%hEkrv;aeQ&Ydhp zg*ak6Hp8?*7oFLBK<=7~hUmAy1MtHKn*CK}1tJ#d^jHKX!`M9x#O%S4XkIuIzqo`X zBhhf3M;uvekBK)ne>UuN3N8}7hSk?(#PHefN9)+^QF?0P6*=13_7VQ0w+nA181K2Y z5O12@+W8UVWMM{qiNNQGti2htTJHUJIp+G|>2_5CwK*)YOFpAea*y`H6(EYQP>G8yZ{o(uJIq(^_zxe!oQ;_K$at39H5f49s4(ak^D`Pd%~z4 z)8pdZ>*;!0r0ay-@A=yM{qp+7=XhhaSi)i6;C+ALCT41bTZg06!7Frx?`Y?_V*QnR zeCE#ZsN-jMGv@gj>R1DK;XK*l$-=Oo{10Ts2Yghap!UyiSwDRn(L*Ivgbmu8Mf8W> z*5n>IUc(|VW9nQF$;tilQbgp$o~vKyyD#3em8z4rZGlCa)rMD?{Fj+%Q?OYfu|^i)pr4?CWN%|{~Jfe^?!0y>|8ut|CcGUpA;!LK8f18nmgN@xSD^GFn6~yHCL4u z6Bc%Hbv8G#Lr0Mb%SiH6SM$Ih@^=`?icPHPH$~U`UV{+JWor^g3a5euLW0H-lUalp zm4L^Ul=xAW$Ubl9Dy1Zu$x>xni81ecJPmutdVI*+fWHu(Nx&5Np_0As}qj!QVMkQwUfSv1cgOt1i;6zvI4ti#&lm zgsvuCU3YhP!q$bWL+X<}PuUpVpD#KlhFn+EuZ^8X*;8vrzo#D4R#%mAAJ^(;%2y5i z5Fy<*BK>>g`ON}2mf%&0A2ZxvEMKXybvDm1i{RFXuV(YE_QBtLQHfJzp{2#N8oGKB zv4-Uu>be;fYHu1!wE=x2VEvXk5sb)w$+fYy{<1oO{Pdu21Cs+oy9v!`jF}N2fCb}^1=AXRnHrlgVr z$ndNFOrZ2Cz&nych*L2WaYo~d&=;kRlO9G*`01>IhL^WxiIWyFIz`lgcP1G+WpHS6 z%jpH5DP}Rb0Ef_SceF~l*7RpFZ~0OwHxn(ar51QUfO zR(e?HK;;1Jz|Mf&K>L6WPMDeGHI-!SG>9D>Fe|w%wk-V=>6*%^;H6j;M>_nr3+GI! zBX>@L34Vwbp)sP}pzhAAp|46{mF1TdwJNw(8KfVgCjibQXsL5+e2&W5S7t0Zo25MV zJN|fVcl?1UYF`4i(0qEfVXuL5`NA@LrpWTsAxRsZf!L=!;-iy8^68kF?mQ6_Rzmwo zODW3!9_^vUKfJ&Grd_Q@+U%Rsa;c>>rbeZZa<|*wr+`e_*iBR9QWbuS?o;kd?o;Cj z?+t=$%qleJkxuAO5NwkEm`5xg*`l{6cZT{#`(}%8w>WL{p(xTFz>WjQ4Osfz_GMd~ z&r!|^AJ9C~q<4|OsT#sphd$`7!#NCQn37p$S*#lie|Zag1dwmFUlqPE_>sR;yz{>o z24_k}22X#9`sEifhCjh%$CS^Un6#FJ$W*P<)zDY5UCB_%tsSMeRx4F0RLN0!QrWDN zUF&DoUys}zx8k}&($H!JSw(dD>k{{hpRsqZ`~3Ji%ao!;^RM%SHEQoJ-v-~{*YB^z z_n0It7;zZZq0pfdByZuVrfk}H+&?l0zmnLI#*;)v3y{!}81W%zIA`)_VDPRv74b)8 zj%6TbDDh9U@U|4RHng0&wI0bj;k75V+q(;$JpESKOuA?qwOc*w>QSY@gREwcV&BfO zn!jqZD!o?ig(WmVmPn>21euH^6eKt%BrW*KtJkpC(7L+PFu4PHJ$GIALgj*3tc_Jl zn{Jgc<9h44;K}uGo~1R+`>7s%b+pKCt18r$}+Ar&L(3`GANkzuL>T)z&F zKy*-N)^e6-YJ4jEFmvkRi{KZAFZaI$qwgZ-hWv1!<#A|rX!*!O2WSS}h7<-|wq15Y zce)iFWtC}86;eQGvbKtXSrRg`pygOsacjCnIS)AtusArGCR;JL*sK_>*eCutwMed`;)OmdNfe0MzMaLLGDaauMGY8SxGC!M^Cq>B2b)zj@$p(Q1k zFD_5c_IqsyL@rAEY&YT8?gv6+F=H1K+4{TfmP>gz@bmD;fz-hTeI0$7!SxY41P;1_ z2Ds|88m_)!#-M(%i(F;0Ci81SiVhQ_u(}xi$fqT>>mVirZiaM-3U+DnQzsAejFB_s z;lYL@jv|?NUmNO3==d-BYW%RyKNj^=e>8riZj=zJcx$36{o?(re)M(}lhMCow3G6CNsH8jz{N%W_{^bsqDNB9n?9NQlT%KTOw)%k>`VngQac5 zZE=t_Nj&dDi5Ah9Lr|l#qHKsRxM(f+biN;A&tE_>DeX|1fc z^YYxjHaMHTAH5fG`|Eb=;nPj!|EpH9eS9y|LyNX*b;W$X@S?4j!DsG%?$G+~IE)M0 zrm4bnt@7|KZ>ZX$<{;G2^Mb%e(k68Nt)woZuxG3N5XD#ZsOeGxVxRr zZf6VHHphGOS>aduI64A`{f7LS?W!PO!jlqI0kqy*N=qsrH8%An%`$B+T`avigCrv? z;~~>5b2{sDR#i4>c0~45j#bWLu5@lw9&KJyK0>~G{(gaG!B8P@VQCRjQAE*ev3>Do ziE7C}DNku>8A(}8IZU}*`B8;_#bl*KWlI%vRc*5rpso_=FJwJmZ?_R*1k4@w#Igr_Tmo8j`U8v&X_Kgt{+|R-Co^y zJ&rx6y%xPYeMWsN{o4Jr11baKzvO-m4@wR84~Y(S4+{-_g!$$H)faHD#Yd$V&(V(a&|+V;wh>CVZn%kInGkA3w0qyzGU z(nI#cjw7j~$z#3ay%Wci=hKig?6Zt>`tyd1&lkThwJ-OsoUh)mBX2(56yI{*_T4Gp zt>4?-KR<;3{rI=!k@s=vN$ct0+2aNACFK?P+V&>*w)$@O{tkl%_3-278Rh>5Hvi3% z_@6IC)!e?gdODha0@;~Zn1A|zH&bF`=lkEw)k=t~OPHHFn3;bPvvPG&Hg^_xuyb^< zH@A1C;Qb`-VC&$l=4fJSPQmsM!K!}JvNChEq~PM@`Xpm+Wnt+`!O6<=N&Vj=w3WVC zo141+Z=m|V_|yi!G#<+1O&t+WF*7{0012w0Kmn;!NDc)zYb`0R8({fbSw-EEL;ps3|w3+ zY-}uCQe0d-TwGEbA_B-kL_4n&dSdIzv>IT_dsDIK_|md!a`F) z!C*tfVne?VK#M@+gNOd_fQb6%dHio2H>goe&OJB4xAE4qyej4Ze5wW zaN+0G1<^{xtD8yo<-2kdOlxeLU)TTWpK;r{k)lf|2yRFmnBBcCZ2UF1cc=N)JtV29 zX>fl3o<~~C!Xq@fxOr&d-~k&71_mN6+;ZAO|0L*D zox0=zeA#ji1dFTTnYwUZ(IEU20FjofZyF**K7pB}2DhvE_Wu&$|F;Bm|67FjWhgY* z|DK5rB?8rzOacH~&jG+Pg&+V}7L`t+L@^K-44Rf5p;=?W5d%X?OCYCMd=ZF-1#AYE zEhqqkC|%>}KyrXg05~5Rtb|Nyb_4(?q)WtKgOfn~GNm9|#lQqe%|t*-A&?R@t*}M| zV}F~}5vWz-A6U%*=OVAq5?NRh03CcCTwJ7`)J@(>y( zRS+Py6d3>p=>Q7(WmN6V0btc5uuNVdo&1NKL`XR$2mqOa0FhBO5CjOvO(+1%s=AI$ ztBwG`$OeFTs$kqBFh~ya7#g$qg773ouvu(JK`K8oq~Y*{ylr!S%$*^wC#{YFg@C>A^CVB>EIu_K?t ze>Ybuuf~g$^pj_{KgaV_B%f0yb49(}tP5hPm8izHp7_-)-oJ$tLeHkKm$jeJs>vgU zdP26Y(&*HcgDrGBxvu}Hu;Q+hFB7)fyoa8U0~Q5<+dyDZSrF$5@^e8jq;nyoXBMX# z4gv(KtAhAtbpT*V6woKmSlNF)PyhzuvVa}HAfQ>G0a%o==zq%afGi_36v2ROi4htQ z9C(U`CG~%_=-e^ZYK6i&-$0xJG>LdJcw z79p8c+I?$#nkZt6vY(`@kwFrtP@bsCn{t>&h~?^81sY=%uhe%hXnghYGl!Q40IutFDzl_rBP z`w)R0QVYtc8Zk4h!}$G~FD0T+~UScCM+@M8%7+mZ}Q;nZoe*$dSoRYz%JSRZCP9lbVcB``KIpZ%E<5LtT{odv& zVHt{qA|m}qfD}PhZSGaC+mG>BaQ=uKkvVbnRllQ|cm4~r6**hkv6$7G5N;;BZVp7@ zAzlkIh6!M^Lx}(UA786_B&+&gaUg*_#9_KZh9x;6o(^Js^dNXP5Fkz#d=8eOlqg6k z20>g;oN5?|T?Xh00<3{$uTv?|z7>T3^G0IV5SOQVL<=#o*bLeqho!C~5VHa!{(^sW zYmiyE6jk^!?(!M@`ESK@TgX&P3s)ClXiukA$OETR{MEwm#J>~J9y3~Dxu#?(R!{Rf zY<9UZF2bTk7q{RQ)%}}i5;2fV#D~$~1L6t%RE>ATi3F%;VetfmmIpfxAF=rf0w*JBJ{1@B;3vsY?? zjKeGXk5+j$>VIE(FaoE24_ZYoG$kX;4-a9=NKJ1Ynh>XjMc||+w?#bxgxz{$^uY{#{{<0{ zhyNX_k-OZAbN*so_(+gYX{Qpoz%lcv_o;Wv$?>r4)P1n92?qq0h}NV}G4MAU?sJEq z?V9(BW3nAt<=SN7PUpeF4Kgo<76f|8coz79>FtaS?tRkE$kR5J`rFQRMR9ofgAdMj zza=iaR>T;=6lWJ8Ecoc`;OtsPrQQlLZud)KWL3xA|Ou^&uP~OrF-V; zQVRCE%Hhc5%sXsS8cP`U16>)hoy(Y{v*LWS&hs2`-Eu#RC(}MOn37xb^I@FWnwHr- zuRtvGA4i<2w{DyomJ=2(zQt{KUd~WoX79t&sn7+l&NxX;2*Www?y#QiWs|ool<70x z7^N9gXRrxKOm#3AZor??w(<9!mObS6|JyR&z_m2bp5$R_KJgA!8a(H^QRYir9A<~! zy3y^3N%4pT&G{R>Q3=zRoNMsNz@l8>R-taw2aVG|!^G8BXl_k~BE z<-tbWJpjsSSy)u^k0l9h>hNE>&zoDXx<`b%GoKqbx?Kg|K38MS^EhBHTH-}kROo+= z_pPWB;Qj8m7joViWcLc`!5$iu1@*Y;R+Yn9}TKj*F~^kBN7o{Bi#r zkjJ3L1_kScUEP2|_Xm{w-ExXr{90yN+4l=*3;vV2U(PvG@;#x8Qz5zI=fkaf+4Vveo9W$JUTYBMAI5VX_n z^0x=+SNxF|O@XH@gPx|Oq&dMbC?6#x1-l0k6YmR`7CW4ajzSj!XuLV>)@C_s{NcdolR~5JR^k05rw4ZO&%L7mC$!5Z5DW^B_4!gP%m1=(q(cSRhFMO(xYb&=B zNfQt4In-j}fu+-^o@D2CLx9e=g^w0F*$-HvJShZ>$f6hk6@ap`hN`Iwkbszc4*rMG zXr}-PZ6KO`2o7X-O@LrEx__=2g7Cl!yZ^9ZtZE@37mzSe0Ex#Cln4pJsr*14Kp@08 zAt4$PlK)+RWmHS!vVfi@reUN*MCH~jrut^z{isyc(!4?*>?nd3u_t5)@rH1lQ31?nU_gKwhU8XODt3GY_{Qt2WHH}Vdp4#g`hn9ze3#nNUvxfUa3FH~*m zqQBK45C-qHqE9w>`h6N23W+@MiY(nNrgGZ%R3O0M{?h_~?d4JVjJu+^E=`cqrV49) z9`mUzf}%YcqlcOP==X*7&AH)?1;3gDfm{EQX&-8jb*VjVW5o8^vD!z?YXcJzuqqa* zKb&53++Ftvm()H7Klg0YQO=cegs8EgBGn)`)(o-X4O-1xEVGg%FjNMH+i#lOC zB@;(2d){#)Sq9C0p;=k%KpHfL5q^l*=AYG&>_7F>ASmatYzggtl)<^y3xi{oY23Tc zaO2Mt^9%kTd2@{r)hRthD27>H7_l0|9xh;c>PWC2E26rOREgG|zOHC;lpxxhAd}!l zgayX~u=j@=r^2Nm; zsBZA)4}4%e1E{JJaS-L)KF*iq&*IMOFIcac21m`cMQhq`s6T5f&VT1jER`0oIq?n> z)D;@KWcc%nkso1f?z}lIp7}dUEl)xcU4`=Nx^+BPpeGSkvjnXbvl@n?ZtOtmj6q`&Kr~;c6LNWs@5L`77 zkfx$~gFFMlFN&!E+&0${s=}Efng4M9zjy;lHUNc?^wJIoB##3Es6*^v7-BK<;RGPg zLV6lVf|sBUN$Zrwz^7m{^)`@onyQ*s={)(*ZRs1oOYgunWyh{Y6$3o({$^A6-bCLs zO!(qJikEp(e@#YK`-VgLkip8c;XN2=q5>gqaZJ9iX0#jVMTLkav=E{H%dNyI_^WM6Cf{Epd+(J-Z z8jV@T)_#^lWUm7_^PGh7EP!v{@!}$W3{$%e+#DZo#7q55#pG16o@;~5o8nx)daQh- z3pQ!_1mjSn?(dZE-95fZeZll~KE>htBxMjK22~mw1L18$oO11u@`>-R4<{5uDyi0O zyIjTtI(Ha@=eg|ar8VXiY!?lTONIzts~o{ej$Z5_4^7ar_j(xv9a?Fvz&dAMKI?i z(f?E)Wrg8Q+1+i6eQi9aUyo2>ZD`}2^$zvtJJHl@Y}E+ZM~zgJG~Tvw?2ZYYTeCNJ zZerHwyHv9Xep=dd*2fkY;*l48Os!{09hMTo#?Tsmh6dw3v+OC(?t%N~QCH~pxS2f2 zS{4}g3W=|k+ui$P(M$Vuk`&PNuSx|*KlX*E5ldN#z9Lt zM@julP|)18tgxJR1g$`rG=99CSU`Npuo@If3)`-}Z+<+2D?+r6Zy24kVd$8QdeQ zHmRJGYX-HAy5a!)7lyDWd1B1SW=S*9LNAwOETv#<9+Xl6Aeewqe!uoKH0&HlBwRII zg+0x>dA6#Ci9b10X@|kyCBuAB)*@A&5?=Qg+k%W@9*E=^T1y1AHp89HP zU;`V5vvh@&H@du~>;gKS%AJxH`aaiT(=b9NhjCu3ravR8=PoTYEcNF*{NG~P*`~Kz z)lWx7^T$^1TbEBP`t?Z6!m{@sD!)femk(lx-i#-iI-EDRX9`N)Q9p2sUaQg>;C=({ z%F2Rt9334Enwa}ez0Sz9CY6TTwgON~p4#J%P1^GRD9&u2DT9M5fitq8QV3lID10uK z&!nM;2aLd$F_;Oe%4Yxy`~J~bGZl0o2nQqzN$KFoSJ__hkIth?+>`vXIz7{?2u1--UkDgPeGREU`-|rT z{ZOGLGC5-2A8NVTq-%!sNQ+&jZcL6xfSb*hM=Tzej1#EaDOoTn^Q18A6H7R$OZJUQ z<2emgW?xgod_BF47Tv7=e2bToIk~QB#L-6D+1QUE-z$kGxUU^PxZ`Z$YRe^6VPbgL z4Su5a55Vs1!Li!4DlT3;;+!()&3N_=c`%U6i!9#G-d$2$g=)}!Ce*S4_PY)*v%Rnz zt8ddE`)s!2?M4}>0mt+?8uvpkrL!1#?EPd1Ky266lC%@xFC7D*_ZlQ89WJ>Bjzf+F z6gK|-Mk{!nv;)jI0?El|L#3%ksbR0d)wVcP{<(rots=ep$86+UBgi+d?ayZ)9 zEeq9NQD9~!jy(5(QE5Z?Lyt;ddX&Q1ydvW=2{|E`OvY$N-U2REUmKK7-Q=1!YG% z+u1V&1ntSO^X5HJMM{3+Ej<@u_T=F%M4N*4IHi$1b(jZB7B6MCVfx0Net8GjbHIx~ zgsu7m=#Z8(pELIBXC0<1LYuc|7_D{%BZ&KZzP(K*j=H{dM23s6ZiC>Xg((iDBK(Wj znU3b7NkzHZeE1ypL=`f>Z2_UK^V`%uBU7eQ52_<0j_~sLeF3Yd9H$H+hegoaRKB$eFZkw5r zo01&u_P)s0Cf<2Xg_+Ou+MCJ+5d)64+vqklY!OqoDi6+8{T6DP>wl03Aiv1uw)bkC zO*)44*`y!tc4eJ8IjpL+7WmA>c4aXru)w3|@kz$UiZ9%*y!sH?3MTdbjlwGoyK{b> zTLOtloWl+uHuX6K?#+}GBLl&Xc^`gY4FlTr-jg*g5=NIn4;Wq<^jQrA@otC|2Q4(>vZF92(TsQzW<5O4*FBB~H) zKvK9SQBW8GO_ZyhFT486og>-I=X2NDJX6S6=mj!8q|5~E@KvLI*nrwAt= zwWGkB5~qE9yH_>-`LjDzy`g5M;l}GHQx106v8Irp{7UqHUl}n1F(y>jKLx#Df5)Ch zmyOCCpZMsj#Xk9fh`t=S>GnL;U%-4((XzD|*@AB6>XXs>G|;?RaHZQS=f3`pL1ie- zH$7$#gb^y;Trdq* z0gmbxNCHR&ar?`~NI=(k8v0USRb-k`6a&g#NRT(Qk_AEHJxJ^y$D1dUi}NLu9i;mI zu=UnKadc1IFA$vI?zXtQyDsh?T!RFcV1YnzTNZbB4ess^K?4L2?rwMU{N7t{-KzT! zwYxLy%+~2Sr_Z-P-7NzEK_VR)`d1Q4jSwTq1L8m=9j!EhU!0J*L9;y)j#@pCRzg9z zh=CT!m?mGu5CK#q(;PET*U-2Tn;ZXfwo$a)4KONalVYd{k zSUwcr8y393huR$GOoj-72nA0C*qCpc1*&IiNdZ2r-+6xOd3h3wQ@F-+m!uCpb*COQ z-r>z5m|MP~IB(ZAVgOQS3NFBZW1q`r9P?KhyI+~0ALHy^BJO>q86OP0rma{pj+o=o z!t4j$v;t;)BowF4a3E_wZypsA5Czq@(0i5@$8y4xfx+|;wlqaHViOhi?yP(TOdwLl zdJUtY;Dr@(Y_Op;ZKZH>z*97MQi*1;bM_oun>;=rJ3B`eIJRaXuy{) z#LFT2>L8{Pm;LRoK{23gHO9cwy%qB50ys0b+!)l z)v~I*ntWEhHT9O6i~3PQLKIerz!(P~DCK|elsFwyW`!0{eheC@&d6UoGHvWUrs<{` zJ7aGOsNNl{DLm|O`Y=J^srD5^GZdo`TVqrnGKXkrQecPl3No5#9ez^@PPv9G6&j6@ z8G(j11qtv^eDDG?LX>1&i2Dfw%7eaTW8;A9tpFpGmWjm-kYHIc5P4CvSOv1aSpLnk zRg!d%*TfmZNyO2pw>*qQHqgX^#Oc(Pa-`=#sV(xk>9kTtpAJT4iSXhr6v= zmE@=5koKLQa8x3ICk2&bpVf^PlQFRwL*>>Gk`M1$h~c9I-o}4#O#Q;;_m`_N8Wt?K z|44nkUiJ>f7iw6vzi$G%w9hiFtKA{88%8mErAA&`_o&)1vT=wPgR?HTD{B92p(jiP z^%8z*r&Uf|c&O?<=Ej`X84B|Gz3KcCc{7yNH|aa6YBjmvj3C!@)Nlf&=SN!lKq`sM zssDWd=ZX1qt~patPr{n zy3g2Qe)Zt~(sN{XwCI|FeoV3@Tqwp}3?oOt#tB=TTzrQr^hvxr!3`*a^txhR&t;cO z(9Lw$?^HR0V@3Bhyrd5*M{>PdWg2x;`IaRLhfj2Oib@pEV=r5e=VYT(_AC##mk+T0 z=#!+=RpTL@{#tk}T)@gUOH?i_VjNp>-#Y2MDqFYPx>>mqKT^HOkB@ii4KL5Kt!;D5 zT!N2n5#$*ggG>DTm?vxaTw?%3#IOoroIztBm5z9Z^-J>GpJmSwhD6d?Df(H1!==|8 z#tI<>)J>Suwpk1;`wjWEd>K_gL`@V>O~ox3DQ7`fEZZ- ztU*8?wIEedq!mO!v-~fd2(kos6c#Y-;Xu@dc*#i1CxE1ad77YFWa^!|CL_dZ2L+UZ zllSBTi#$B)ykvtpR}i5-b{%qFG!kB+a@;H=o$+S?OyNop(R&W3!=I zsaKN<`UDEeT^{M+s>rY+aukop>+6ONKa3oOOxR$b&5GD#TNew+^Dce%mb*!vA1I5b zq@i|%BK447U4)7kChujL^9})z2!*!adhRrhslt$mQS~^;b1>-^t{v`i{6_F4y%s;o zz3C}~W!DOlV1U*@G#yKoPzotg%A_hnQo%x^D1tqNL@^=$5rbN~hYAP?*-q_2wn%o6 z{c}iS@fir5+UGt(V>vnoWQYXL1BwB~NmOYNumFM{fVm-)qU00A0BL}cAahJ9tbECI zb5N?MdMGE30+M-Z1kyYXc(uow8}IEfu9M_}F-!OxL_;f-e`J!5nB_i8B8MeL;EeQ9 zb0?fr(@dXjoU%E~5=GEvAU{>OJ8kn7mYZPis>$&rfN6?wj=x?TmcC#?OLKQkZzif| zY7(jTDh_ODMQ`>X$&l+Bj<@9bSu$GjwZwAy==U97v*gtdEI9!2LwTduc-{cbx=0U- zDkwSxt8RvtVHCSOf)fP(`82KSr8aa^;p`YgIgt(UuGoD6t412+?Yjh&d7vn*>PsQ8 z`n<$9UOXWH2PsxbQ%ujSst{gw7$37~WT=Re5od)7Dh zUgjt7P_h=g9tM=K$&S*<&`K1=z&^`uN%~Km?(q;EZYrH~m=YM&KVI+hfHl2$wI87Q?dvltTE_P_}OG8YL(mq1j+ zK|xE5flzElyKtcVScEjCcnGMA>AM@TAOhlJlKbDE5zO_?u~P;rF~ePDMZ5z!Q2Ex# z(nCd@@}Ku~6eT|Bq#^&XCKYhBZ89sCX7NHNm8`O60Vzv4?>2XjQfL@x?O4q4&Kv3p ze?!=h(N<}DIy6nu`!gfT5Gvr=JzpHw`eqI@*EdAUukTnAV6mzI!J@Lv$3ZJ7)dj^}Te}{gGAgZ(I8BV?EWgN~7v{S*cB{ z{0`Sc2UqAtZxvWr?bhnL?~+wpH`zs*>o>oes_$C9iIFN~aJK0%4X>m-HMWIe{`N^C zeoFSgS~B=tZOIW5B9ZV`lU|CBLuy#k4Bso?VQ2~Q&ylma!rh&#I2t;8gp`MM+qH|Q zLc!0U0`PcWWP9;`OG(UsB1OVB2>AS=H%~>RxdS=f*e|(SOseh=@#fD*7Oa3)&9d8N=c68sCFTq&C)o&w z|G`F(2|xl+CJf;oSTpK@vkI$_hngYI9kLjOHCt(F+c)a&x2u`jqjo=Hm zR68vzIX5_Q!JF6%OBe@mrvyAn-XSV1!pc=5&{!v;>>A?IZQdh4G?L?N12QMYSY0h;4C`CrvBDjl|oRkqh^eC^ln3JH#jW+PSABxt|IePIMh_IH5o4$bxB6D1% zSRO*uPlzmLo8k@%fvBVZMW+$-)IiRuxkYpfg;+9-5a|n)dIiQw%nb!WyjjLQhF^d@ zTn0{e5QHC7Qj<)SEt>->$*WT;^F}Zb2S-!&MIe@8Drt?VQ^Hn)izzu7tr&WxX!scz z5=U1(uUA~tUcU&Uka!+Rql!@-0h2~<%Vm7Lxax$~u745aO?z712@6aMSHD9QuXLlf zJrKUt8oR7}y+i4a1}d9fTA;5}A{9FPB^3omJSQf;buOSqM5@#9CWs>HTFt86w;_*@ zAKz%6T9-_a{|SdJTV{oBD^Iy4`KqUKNa?08;`t0*bw3q54^4AyHufLLekpMdYUA;8 z6a9&5C|ffAJffd`OY%FyE!$uddU|s#NBODs*VnjqqA<}~|7ieE!Tw(qFY=>m8cxAv z3;%q7W;>S$e1Ue2o$xgiz(sbOPivsgdBd)u;cuh$(ADG8Pf)cud_R#R2MKVHnZ%S2 z49Ry7&^Ca_vB-`aMF#FlLuY=T`+m6byiojPjGekf(cj6q`n>ko<3H8(0#>~onPLCp zh|Unr!TR7DjNfxRV(teY1YADS$U7LO9+11RiqarsLn3T(ubb=i#S4-+C3eiTka$J)K)YN%fr0ro+ZB=0C&53A~ zU@x+f`OI~91bTkCy5MN71arm`wf_PRsZdZq?U(tl&SB&JNw>!wJqP#3urng+SIe^V zycz`A1TnZtoqosQrYs{<1}g!9 zdWYi0ePVB;MfqNPIoZUQ;i~kqIuyUziPg^vaINU-Sw})@^v%%4JS=ddE8VlQbKA>l z*|c}RLN3#)InvS+jc474FF8}zJMs~4(Z2gUlHerwckL>n+(S2*@6zFu1rZ=sYg&tV zP^)oq+1t^=Vkdr4L$7Wza}FZSv;CEBr`X^k8tkw*q!y(T^Nl3ZWt-Nd;r< zznU}*41r@g^->{+5OWEF1WOJfHX#2AFb4t}V?o5#e_$1$4A4%K&-jT32Lz#C8A3pX zEf7nCEExaxD-ak~84|`UlC-?gm<2GWSnhEG^*K`*KvD$CV+qPgG=#`#h=ueC+o1UP zTy-NDLL|xvXqi745`X?`MMlW5Q74F7otMVtZbOFBtkQ{B`VcBP4$Hs1+L)T<=)@v+ zarHyim5{;;YJM`2iyon#VEc6z>8PXvqFddREKd?N&E$_4U)R=&>WGwiSG#8=o^#?= zBB^|I*hUYU9#n6AA8ycn*E34q#``Z!xN?pg%*Sf&2 zIoz!h+cc=DpG82&1h)i+{Jd%Mv$`U_()6luYc@F0JI5!Ku|k|I7ox}yO|t9b`Yet5 zp+5Dqf+-WSM36j>0+uEQjDMay>>oM$JX;NDz#)Svj|z->b;KM5_Vph-JXUziDs`^bp%%!o{UZ%`eK6 z4&_&;$St&lRN3qcvMelaMGBW)&a*O1H~5I%E2HA27QkZFYh6{qSYF+>OpUi;+84b(sQBqEVWjoHXK+8L;mK)s8X1%*%X2-rC;Tn zX#@mZ0YU03>XfA55S?1s;P|E-jOf*Gny8@^3tGdrNIe?Cn%_WpA%7So#Q{a%G(z(D zkr>1QFu*$aTHd;|o8vHqix71SZl zB1C5W@2>LzAjG^+ASBs{fBXRmSp%qG32pOOHI1QYC!t#X?+y5A+hZ3<6}FVe8#MxwV5NA7sIYtj6RfiuG5pkpF)I zbOZr3o}OGg`~etGPaZpmfRbhG8ZsbGS8tyQ?#>}a*m3|88yOJL)ffNYokq~?lu?B( zYliNWQKT%l1Mp)ZUuO>VK9VoH<4%bM1e;jQ((FV~mAtR~|K`{5{oni=cPmF73Vukn z!7onkDrSyWY+81XR&FX*UK(E<&79OU|Fs-}9MXg&Z@XLAvZ;J=bu@GM?<3v+H-Cnm zP1eE8#*KoD8<|0hw0mxq^4@Bh4J;o;`}U)IjQoBMZF zS376-FRpC=>yskkWaD5(!7eI_YUKn;-}#Kn`L7Gi|EI(v2PdZh_y1r1`u}o_I4C&z zc{%z2Z!(a4#Db2Gk)|$QmwqU$rEW-WobpO58{$YTMFxxnV)!4)aOmJ4g}`M(8o~iw z30R!+;P85IWTXxgvTa>B%!lw;?FyavK~zS~0Y-KQ%UeUX#_s+D&b*6b$3Gj*)!*_R6Y&EJAmmCi+)@iFh$m8`UQlF zHsV;J_(7+V-p-Bl%)1&=Wz!HkZ4K?i0S6^ZMw@Y|2ZSk4k%ZgN`LROn!b~O?(1RS6 zx`qftlpW&ILHO^2(*Qhn!KV*~cF z3^C85m@pb{U<9_@Kwkoh488;@CuORKhS_tYXZC}jF6PuBA#Uj#$^p~riljXltt7klG18eqI*(<2Y;|gt z^<=sm8hH8TT_6?~5yX7becq=C_|pW(Ns?%7?L?WEMVZ4=Vyvca{dG z{qdrR`nv%36*4J$Lw}dkG5NaBzWfeaKYk#RHr^oZ`je8y%i4_k4x1z&4#w~#wYDTp zLq^Wt`YcLg$9%cUSZym<*JJ9--XD8}PBtmz<|DEV&`QhPvFS-`BCnU|NXDk9&AmYO zH|Qs9d5qc?y|UMX$$CWKq}iXMJgSF45Ia`gFWT&zH3KbIsm98aW*l z!{th@VjSstEt*#Fd##5^h~(x(ykx}6;RTVpK(NKlD0K3N1{IkCQidX;Hwjq{b4s7# zaGY>Y%L4b=+xNEpgm*a%i`1g|6#tLr87AUJoW$gNCfgY+gtua66VR*5#4~9ml&T>Y z1@YdP2xbup1a*28_00`RN=gm#J()78KjAW_f8rqP)r(U`vIhO&vs!CsCX#4axI)1JAC1rqLK4S!6eZC z5Ph|kj+&K>l79d0{bqX$%iS>v~1U! z2ZU&K{AE>e3`%_yEwgVd@gEh!&*0q@EH>0brt_dGXkLlZe{Gx}zp<`rR@T7+MgiZR z9f?o8c1y)<8^Z&+8d|5zIP*79bq7n4Yn*4LqO^H7B4SykG7bbd|p7cLr>M2ZQi zG_m*+KFkb)pY<@IS|slxFtT!)rx(JNz8LMNBA{gurHdD=L|nG`G;EIZY9&sZ%WHiD z>*EKL6pn!vq^Y1)onH8uO-1`m#80G5+8x@g4vK&*&+xntF$1Jz8YHP2=v#g?hd{XMhRov*dY z9i2sZK&`bm?_znT++A2Ocx)z)S|Kub*R;*mJIpkkNw~cGYsHg(w|`=DEYkb)W8xe1 z5K=wKKDrw5Pg%6j2HkDpTrDZ3ob{~1#(vyu;Z+%GR<^FhV(u;Xr6H8j2MJ|7+9*z`t3>Hb zZn0%&yjteuf#Zdp^Ny_*99Vj!2P!#StXX1r%wMCnk7k*~;CW3BzXX$GXa$t0bk!wq zl9Ikekk98}OohJhOx*J=cI-cJBXD*L%CT!?O|%Lzr*z(K_a2)pr{5Oe=m72j;BT>d zDHWf1+jE$8t7>C^#rm7RO7X=k8*WK-U|KgaPsJ4z_ylRR-QSTGN7)dwJQV&3Du0dr za5=d5iH3lw!PM(J7nj#}z0Z^dn8M{6hg}B-TI!qkCAo0nmr$}Olw>jw z|Ab3g($6s}T2>Ug%L~|1=7X&?!Ue}b*B@C_#t&Z4{lzB5rhngiY)-qebszhF!k>3NJ~-i=dt>rTj(k2 z-O>KuQbyvO@97Z1>C4Tp^-`e%IPQXE=cH?E=Dv`Hx@}aZxhvW`m{^mDUTRN*`yGU64Io{jDG4kz`rnukb<_z6qunf;nI|N;Ek~j{uC+^R3lS zQ=g=jfT7~hA9!gV_2%pmyHtbiuiS=e4$maY3ug7rDsJVx%V9~ zSJr)Is(c|^^HFPIiATvcbeo<0@fCLHYrEhQaz>~AhUYo*4ivHdsnn+87ka_M#N{*x zOEz^5?`b{;s%^XBNc~2CJA{O@1u0>gxz8$-7ZKjoSWA>+CBL0qu_@yVB}IrK$H<|S zg$_LzH8oKcbcCMWCLMl!|7KxR`MIJ{VLeB6eR|%!xq|;-_J|uKiG1ZrKc#^!z~c}G zvk_V~E2D^h>mz7+ZOESP3bq5Bc8$ zo-kfM=DEtvz^-(>-^wD2xl#|H8{e7CmueC=4$^vSP0tz+n_?8L!Ta{@hR9$ugU*Z3cE7wD>dbPQ=#&q6Oz#n#K{;oSu5 z?TFj&7t5^!R<;zx>3O|Bm)qG-kVpITxEFtDVsbDJW+eA8S))3%?k4y`xUY zqd}>L<$k+WBx6C5ac9Xc_=GBmG|ci^+*9SmtF_;P^gZz6Y7MsExK;}nK-d5~={D)A zyd5o;>>iLOB)_<@*XZ&5#09h}j4L~kB0rEZ|Zv?g3TX|BGrjl+M+yHZ{$ z<6?2i4{b-gUSdSAl?v`&`+=92nw^Zr|CGw_l);W=u0E@;64e=OJJZ=xkJ69%1t1pH zw5}JS^3ZQCcX3t>oJqJy)`kQ z@^*2~!$m2(yOstR6EUlc?ST!3#=Ds&y$E~ir-;gx!<|8JhsJ>NIjZ|i9!g3`_?T3yuTDAPnAb%Kt!`2h zE|2!nnb%;h!W6t!M0s^!RznVd{3ql)rul$a{J}O7Lw-nT3J`C#vAQ7!4YSsrUwJ8K*tyfHf1QQ=RGWq3L%H90n@i&8O_}?jMK_BH~m`!BE#bce`@-Tw}-rVT>iNA$B z&aO_emdAP~>v+a`YO!f6a;^*|eYU8gju#1;3JmA{G{Db?lEN@e@*Lp;r*VWsxN@|;G)K<*fLmivD z#%;svp$`-$F~s7~YM+xVSBKoIUo5{zm(S zsl;C()dAQqi;xSR+hvraNH?yi$Iq7$mWCb~zcJ>}HEHcIznV<)m+PDKGWjOxjXl1l z{J_-_MOt`^NAiRA0fVX+NhlYYR|2(^J%*l5k~K*3Mwx&m!<6DAf3_LL0O-lc97>H5 zq<&?n2mkAZ+fG{wabPZUtXLnCaXSL126Zr~KmdA7BpNf8o%XFf3 zIO>b@TMT*#&s*?!16!%z<17eu%uu_c*J^Q9w{UsWm916lJ&lK$9ytv z4pZLU!!hpra!F)7Z1@pT3$NdSIa%E1dYQ`sd#!gBQKjyDMO7Fy>?%Y)rs*SElUw@v z0Y3QqOMY!6FJ;-(_av6F3WcnC6Cd3}weKv`2;x0*&0d?p7Pl#cu3ud&U9u*L9f(`* zKXl3^#pH|rMj=|y`+UiZ^+i#!VE;80iIn;SyOfj5nOA3f!Y?VQ~+d?9H(c5prDhr;(D_d@=H z^`5Xv8&9QngIz~uygW$2A;pX#G-&0b&!DD4zgZe|qbN&j@6ZGT*558cQ%^Ev$=J7?#&_v9fU!dynY zdK7&xs=da(pb?A1t3!FCh2g-esqYldX#0~Yuld__M<_R2ciYW5z7-1Q3tVN9XmG?Q&CAbQ|@Uh1ssnl*rO;0ZpzO{O>>zT z3le+o!zFV#LP*L-mWv;Mg4GJkK2WGUxSFN=kv5I$v&%V!0MZe7>LZyKd-*P~7R(yY z^;1#MXD0>1u)#`;#g=1$eJdM%vbC!}j~b0T?8sfzr6tPgS8^AT)0F74qm5UZM`vMn zeNNxg*wMO*nFA@%EqqV_&$RXMX`=@kT?dqMXGyQ@7-PF%OtDBpMeyJvnLXu(z@r7E z1#c&6&OPY9Tlvqd&vxv4iS!oB@ZmH}=x_?UTrMT3WD!oiQZ(Rn^E=BwX9Xdu7s zKmchLD3%rYabAOxhe6%#Fn#uDq0u@sd56|7OLKZDx*|Y$JnqZI4rR!iLt$=f{#a&u z5ZR=aB`foFo3IM!5(Z9co(&kDmPS&_6eCX}gfQXhH+-~`lvp3tCkE7yFp~82{pCWR zWE;-7soA|VAq_Mj?Z4YIId}~NH1dN82cMKa+_)FCud~4r2JrnzH`9A@YH;Nu)+^uv zG!bUn8p088-8Qu4J7QTB%x#R_FK{knmGg>dVDG>zh=AR8&BGB6t~N zvEXMdYTo)eRWIc|brI;4!v6@u`Q2E4)`g;w9GY9^T z%FS^q{FNSyaUvx9q8AC|yG5m8Ra)v;3KA6WetP{5&Tq%zVLcH{EnT7eR3-c3+hW#C zjD%zzrN{YKiD8KQJ-}9DyU)7#*sY)2pwus3xshc|l~8`Kd1c~*@%+iRv<8mkgBGEC zqKRlb*kN(su3llYHRC02u=G+&if2GT7Z;HvyD9GLtcMwEE6bVwVhHrv*|nh>P=9 z-ww0<9`6jE8}C-_Pdim*jRWiej`|G~*N(ZP0Xotx^L#> zt#Lk5`S7UwJgK^RL@{V*n!{>tbVi4m)MTLvHw`CvA!%tlk4yWR*>vq{pE7efJZf z1Aq9y^0Ov)$y(=PO8(lQN`otO$~P1w(Y^0*^?$0}y!3zbLah|1Ey*C?B^7 z(T<8jb%#pzNaB}hqX?{4sevW~xT8+_`y8Bq){hv+whF~74?&OO3KWK{m)+=5*60Db zIE7J=QtQ~ap8SnpBPxA+*qzuQFzuU-oTDnu`A2k?C;NxUR#b+8u^s}yaYjk$3fZ!a zkW(0okCKo+jy+Av;ByKud6On|>_A#PESUjY)#gY&Rh;mNrqj6;3x^~ZoG(&#&dg`c zk(m{lU%G!q_=fj0^QC{;N);hDq$fA)K6p&y)m^Qf4roX6SRglll|4dBqy`h~8IK3( z8~do`CU1VW>?;o79A4R}^2&FCcbjmWA~sS)8lIpj=O6yGOF|Xr2pUxk|6GO5V^A_b z@|BZOAh7XoL=jodAO3c|?$p;I^=eBW?$g@Q{&075PyosGZGv~KaMm%K=aRC!`Oiv$ zCfe2Wl?C$Z7@x~OncWneH;*cOK5Chc5v58!3Kn>bBYV<}2{ql(Ec+?BvnHx~>kwH( z{N;vj+tUqOM|08ECddOBL7G;F!NILMJxuM3QJ?Ul|M14NheLk%>P2C#pLDqkt*ws9V0DJ_su&wb)dD^2Nky_nlM5cmpmG^B2l!^k0p>n(3XxrG=WRZab*J3|=<@=ub_c4i~ zKzBh*fdhPlqkwf+lLU9n$Z=7qP}Z-bv&vGJ%B{ZY3HIsPUC-dwTH z6P&&uromq;*}F%Z@9*n+{m>O-MpLb=)Q4|t9iukB^GI}!x2AY$1W*MX!v?XQ8Xt2n zttbV0ZULWeh^-Sh{iidC<=5w1_ZK1gxk)fy3{5@SETq*aVT_#h2s zA*_n;qC-7u-8$vkw+aULD}Ff)gWmLci5X`~0CW-zVNM4RYwXj2kva3@$*?`uc-r~k zf?oaPi|=6n8ks1Ss3pm(p4&kx)4|U+a&K8|ZKn>w6pKqAG}VOrhs!jKl0BqL0RRUp zw*ESc@aC&<5o!DD?f4_TO=f0->(r>gPP6K6%bR*`sR&siKj%*lnkqzN9dD1L0Q6I6LLopjoa9b_&t-Z zY$I8=Cj~istmQIAmx7xpb6$`(tk32gk#^4lMLSuH%z_mJF`nqw>1NrDC3V0%g+ zkQr>{De$?hqS0H$bwgx9Wawqm{Orv7y>6owb*eMt>tVaz2IrM*ydP3Xyn}A37Ze!v zN@eS1NXVAmRlT3Ti@GH)U^!H21E0v^9MAj*3XRy2Sq(~&qN~0lALt@{Zo04HYoZJG zj?USPryE_DHJ({9$A^N6tr70Pexp|cgcvhDo34=W*2H&_4zw1aUfnjA$S;bsIm4gw zmrRO`!Zh3{pO^j|?+ZF`84&t*51L++o$);DZ(3zWTfC$5S0kLVfQe)#su+{SJYyAw zc!n8D^h~S8i;wUTtSaJQGj4^SSWhLoV-~utLzUz8;@~L6F_{@-6OqNNO7jll z-x~94pBy?KV2${@%(CK;8Z2-TU{zst(U3gAIfNMT8%)IXPOZm#_L1EXyTFVpxi9EIdAvz~K(pVZe(LeC% zS^5nz{LLNg-bn$p6={ey#9@Qaj-w`yPmLctPG4B#~OBo>jeoO(h0uay=35u zuppFzONNWMgZW356Sg%k^L%k~IYT)`@_iD_#Odp3io&WRF`RKYMlYmSobIJ?y;lcj z?14v**oR;Ie-@vgcg_{J-)6evACYxHbnyp?C?l<+!`o{@Y)=DzUBu@c`ct5@5Z)!% zZ3Cywl=l56i#M^L)@!U;V8=suw&DkiBzdZnD8De~eWCiO^Tc*_B%?k3t5NrDI^i(n z(HiyPwRSH~4)EUAf`8HrzH*>2v99QQ&*6yUo75%oC0L^ahV zT6VR*aCt}1nD8$V!oS~ z%Wswbz}}q650@(zHMKcq6u4XyU(d<#-yHJ-PtP{GXMU`lUrs#hJjn9P=idkW{E2uW z`U-zN$oU6eub`ez%~QNZO0rKMEBKwLr|t3FenvzXUPL+$tIx^$9q!%m;`Hg-z)4tj z+Gxk;u4V7p%`q@sbbh~gr{lE{j~j}P0N#X2*!~SQqV2t>_UP)|$Y1X6#~Vy)BSNNT z4TTAU&DPqVwI6GaTMC~D)8O@Usj)0sY~encVtj?*3;u3O;#V$X`APq1Akh{6 z$Va)zON@B#_o+bhHB)*<0Byp&$wvHqf=6q^lhG=E%0Xlb@4-#qpup)(7*2ImWnP4H zSv9x=m0$${xWdMMtx1(I-v%cQr(`pcG9qZxCWt{qv~-B{i-VL#M0!l+ukF|oVrZ0J zvNAT^;!AhEeJf&nGOK6EkG|uT;ayyIH1`t=67ssZiy#kNUp^sAYyy~_KUAskka+xX z7{90~IR>$B&O$Kl4BU?%{#1C{JJG_d&f#u8p3zg3onWa$OIf8jUn&lutcvq3 zBShD-_O~hFIFn?;GqP`!_zA$UWx#w18n+~jmmtPiUSrToG{e^nvSu(EW34qw;B-Io zxwBX99^9C@`LfK$^9pJ5`8BdL;YuFhAd&fpM5uwZ4bSDnvrpS9gH=BoZ|qqDg}ns! zf1^OK!e60uE3aZ?8LecN$W({(C_OJmYXeJlp1j*b6wSegAM{jWD)G@L3`Pkp^M%rg z6=qnaXPfHc6yMYZ)B6mAnH6UGENoCF*M<-BlJrw;3t~f4fAC0cN`OwMTDkqYRHqp+ zu{axQZVLR2;s@zz_y-B6W1lr+rC$rV!z!b_GCUyHSjHMnD{Ad2wW1V8gi_aV#)`7~ z7)FWmaIH%r7uVRXN;|xjG9^kib()%7_CdqqI5=M13H^jw#GA{vJ6iW z+Vws1Q`t~Fs^d+boIk;$Xvf`7Jidg^wI#rZ$!*l+!ueBLwcXgG;<1!(zx@h8C3CS$A*Z#o^NwN zx8cXHed6^o5#ERbtgyI8%jQoF2&eWQ->DjXY%A!F%{&Slz!=-^h_N3VV}IAby(TB6 z_FH>Kqhj%q4P17RDXn`d5H+Z3&Y?~0--tcQ2A>*B;17?Q+Cm;I8-c-w}Z3|1}Qlu zj%!iz!?mk1PhdyFO0Xk;4MLKpAGNF*lL(g6HE(bm6ceSNwQtIDLCTx7*D|#fQ9n2~ zXKpG>8(N6S0yOnkZ2ZavY4TV1;K?!Duj^Wolzo4+!0t;C#>KQc1%8RJ-HR&=bgj0g^4+XJKf zovLrnwTjFr>)>2&8-isxrkV>usjqEf69ca#@3&l0f`VsGm9V$+syJVi?a^`Ps5l|Q z)I5zQ?%l%0_)vI9?J)%I!Li3MmAj)ynWKkqc3ih*ZM+#PQeWyRTUHA<&#}kQ#JRa~ zd#C0U#Y19oY7+IsP8QR#SnO`yaWbW`2bJfQtZwQf)mF|RS5Ql$`SDQrkz4#(bL{84{ol0{zm1Z>Os=1q8m0C)! z?w$P{2;AGsPX3qJoUZnrohs5wPoneL%5b;#f(L?qSzO!lNa&)y`T>7|zLD*`mDLo}_~3(E>G@%Gp-Eq5K4?pjV=wWzJaWszov9aKD2 zaVJ9=Gug6?67a*8&0{I%w2c=Q%b>OaHQ#<0Sggc6cq#gV*(yub8vn4=;Iv>5@Ec?} zl%lm%xD-6|+m3pzxv)!eS7nwYeLcU%ykxOH%!$vW*Mjo8JU4e)(4@G(1gI*= z1Pm>vO42qP^9XpX8S)!5b`mvo$r{wZrCkZt+L1$^y5-BVB#um~JTlg^<-Bj-UQVAd zzfj4osbx&yu81arWuJyOFiHcTVYjTz#6GZFrMx}FN$rCqFpDP!S(;6gvSnUBQU6@b zX}$UWnY!50U?9Gv>fX5^JC*QR#1K<Egk*4q{4oA@x_-=edUsVfdgO8$%7^X3Ij5xp84h;K*ZG zB(my8^T-!rb8$smzEzWiP+QlT>E`)Fm)JMp9X@3%N_4oG2o>RoF7iz-@Zwr8u0^$a|=?}AE|CQVl!tUsOf&E_w4{;G93>J9A`hFZSQsmd6@zz=BoH=%L(>utzUcPOra(x3PCa&Bn%@be|({-HghUvd6sK)OPw zOBA^z-8iW}=aT&@uhk&j!0%=LVHSGkZv8}iHG7ck=MXSp*iXS=6hdg_!lcI&`4H35 zwg4EJ$lM~Z>;7o&4Cf&yfle0vy2!ZDs8Dwh^}GgbUyMo@bCc*X1|x;*dAufTpbUPy zbvc}O7DYtAF5p*)38|+ohLPoi$O;$6)j+i~gQf?jMmm4Zi6~Ij5r?H%Ow-B?oa~u? zeIP@tBE6$ERFOR&cQO9i8iQ(#Jq(T(72z&2ZP0^s1ok=|v4DPkU`NvM_ zO`h&%FlfZ0X0m8ZHsZpSu3mMJinVeV88aoZA{_V&J@*=;L?t&mifxsuHEBIBs_kWF zwuulP3oNCHDuo7>q?=JP(|9(U(UBWau>Vz%TCBxft!zpqBfY9I%Hnv^yf4c@)@Q;n z%>p&jXx3>Oi(+#!;Nl$}gNbWpnt_Zpaf&ou?=;;!dGbNLg}5XH#4J3t1h;-aH6*hy zxOgBiEqEB`N;Su)lT^3B_eF_t)0!X!ufwhk(^(Zd#r2cl>NKY2ETiIV0q?G#g2s1uv&MWmD`d)xIQ?M|w{Quz;O!WUf=KmD52uTS4 zbFzP$RQ`=g{u^cBGyXG0igbboPA32Shwguy6*VmuMiwnLW;Puix}U=+c{u&dqlB%g z9XB_fl8e2)wTaC?ri7kO&e7P!(Zbe@T*CNggN3sP1)ZXanZ-}Bpa=QCYFa3GdFh1J z{sAWR|2>@lRv=6a|8?2_X_EODQ?dA`t?(bi;%xHoCYk@KK9uDfWQXaYw;xb&1)wc} z3@bagXmGCio9j;z+k^{ck4lBUbBQhC7}iqrJ|A;wa5{y z**O9=16)Y2btX(#ei;+KY$7^bn?KGo3;GuCBYFgd8Myma;tKROh`2J79Ete&k`(I+ zy$)bd2!hx^p^6d6q2bheipGb$g28I>%a_!-+1NQiY~WjE!e>gVwDLeEe)93DxBd3E zLLFYO>ZE7 zQYrxYm`7t?MdPik=t(UA{C;y;=qe@~BM1P_B=Q{eqt z3^;zQbG)_TLTD4IV-EmmA_Yyp)DbxyLzI)qh4nvgp%B)bFjYn+u>2v&l39)B71$bd z9g<7ENXue~7SyBekD}NBG?4(}%=T;CyqRE~nPel5uxSGj*;Y6}{V+UwpgdsuJ9c+C z=EM1JD!0BC)**s1;DOB!v2L?g9?`_D(&hV6jol6C&=wHMMB+5!^@xZ7qKL-Q;ON|< z=20ViQ~$u3Pnmx5xUuwU!+Y{U^ne3`IPTt81KI$9{Z_U{Q4~tRcqx_*pr^=~e z4D2%mrqhLkCuI)q5d1*-LS|yfXo4&aK{QfG@Q|eu@`O7%CXg}dz#n~^j2qF#;qyih z=#h-#@j=-#B+T#wtXcDnK_;L!NWYMWSIuIw;_BdBh#E(?w~74E8&;4Rim}$$3GwoN zwWLjK{=gYTj9$I{siOiEVgaqjl9rqno!4()z`%vwKBs8leu(Fy8y`4Te1Nu@go!n2 zB_^j|ci8*g;?ISN-hX=XyCi~eQb$kUOG}8VOGocdijQq!%tQSaSr~iXw;ED)KG5Ykok2PMCJ)SU^63=~D z7wqrk<*KPY*Zn<%FJ+o0=lK1&r|7JgGwzWB(~arh`D9_9?zBuzW8~{B z13XQV&YZ{x4f5epbVCLV>eYqHoK{F&=kUjl7uD}^mFHt$cmmOesnWWi4B%B9Z`ip#AtI8Jksgee(?T&bXV$48 zX+lU~kP^iz^ZeG)O25AjFALy{-YH^3&_Vp~P3i@qL7R&gU3s|Z27j~2Fq^|Q(pFle zTunJys;A`DSiV@BwJGotY;*yNt@BAD$gJ3&HK_0S-X4b>Vt~1Hj-7yglI<>|hUye% z!QiE{D&8DmgTFp|CQq*?&LIss$(bj0i6v%NESNl=t5G$joT(FReo)?B-Yg zQLy#%x@6H*M`^PI^;GI@(_c)udD((D)z;cIUn332!9eX?aob7k`2Iq{1+Pz*g?4y!%$zS9?TNeJPS5h%f!Ya*F#8Egal%iBo z^%~+TpxFvz^Ei)qGC8gVr>E1l%a$BJ;li0_c_QqwD@h8JlDGfWiC8=zK}$doF^B{L z9gJrlKJgn34WtGlYxdAv8<}A&aIEYu$z2|kCNdRr0~nwdg^$pkjJ&qV+BlYt)NB+e zs55kQ{x-;n+LYoht`bINSQq-%QT`+dLKbo|G6T|`8&=Bv4Na-vI#Mu>S*7nKsaH@x zXRE1mG(BhaG56GS@>^!8&VrH&C&2h=&F2l~=wL!94SNZ^iZQzZSiT@BuZD2Z^(ey1 zJS%v>+@?lRdl@M#%gA#PL^Mk$s7w|Fr_0vJZY=)bj&i)Gg=OXnG4=uXa5r{m@#d^F zF9Eg3r<#MkOO&)0JO^;{kJ{yF2t__XZJoDLrjAa)`Iv!*$)jvFgk z%v5y5ag;)A{N;S?qtQZ#H7-|XTzgXowo@W2F)ewO54C}lg*PczLNSmUHFM}+JI6a< z{uSaoqjhakKAXEmVL}1(uC--jaYC&`)QN9FVZW7Pl{G3gjv?5(4;C0HxH8)Sxv8pW zEbE*Msz)9Vhii3?gY1rikEr`CaYygC&DLf#mI<6Cuew~E8G@5kQ?b>SkutHfCo2wK z`TnCg)E3q(k+h#QcIsXeyA5hL0_$UyIRuQ;Wsy>qP}h`0UOyMCX;pJ_3GmWoa3(q7 z%#znwlvO(?atQpy;zt#!DpA;`R3SAl_3Sq_Nm)`Ab#VPXkkdTujf5!GeNaXF=r5FxS z%>pNQYTFFD&OQ2o9@ymUzaO5EeiDQo4lq$;CtEM`IIC?xKM+N~Z6gH0nKI2C zCLXs-@4aWN|C|)3@(znHcC$jkw0PbAJ(%m6@dU1hcNgcxrw!*baBBfq?5h*Uq?|i< zk(WHV?R#dwnbuk=bS9KS5j0`4r9 zwEv6E9FhI7nIr((%-K0$cuMVV%(p+mY&*?Os5(&?q2XBfvX&NS1$iij8 zLgN!-C8eS%gAt~X8XMPhQi-XtI%}9BRR|X+(MCF^4EORIbjd4vCbDac77`OzNsnpv$fl87d%? zHw?gKFqsi;&7v>H#d*9tu~4zT`uYjZ)!s@yX@!oxz3!wiCHk)96$h$$6qzy#lGtq5 zG#n;KDCH!*2>V9ZxXe8V@$o&ER>PAP+_%Ah$KND2Tvc8%$qEl=>TcD2ySOfaTrNTD zN$6P7issqXTVnr+GJ00taZ&_~Xjp5!D9l~Sxd&Sd6{wk#Lw>fk(<8C|Yw9r7LpAM# z-JQZ_#8y=M$6r>^D={HQ*yEcgZ}|4M%tp#|>ACn?tm`-I5oLm!eN|}e$X&AgbHa{E zE7C>8FJqLAGx{-FQ9Sd7+4`2u>}sXPt(7bzOCDr!Yz`9*9SW6$XYYI8T+}j^5sEn7 zq6Bp9_%;=X(A55#gEh3H+)ZSa=KOjDuMkdkc}9SJ9hsK-G&4aPPT$3R>9=RNijkTc zjb}B!$JDjfUMj{)L~ay#E4GQG&g%BO69`8JMEdDbGlS3vgv3ICkGz4cp}||J>^u-m z@4pBFLWKn2n3$OHuaApi`)1&2Tpy(46Q6o^!LLMYf}eXP-z+hl3A#4k+Omf+trg)x z>(AiZgQJao?uISK&qK+LWE{_?xfga?TxP-OQyrM7u$g$Pp^IRIDc|(oy7D!IgQAtG4sgLu3wj+X!zbRSJD3 z%b;qN(C8mLrmta0J}+HjdmziQZr1CVQr2Z=hvsSmEV-RBz7YGiL{v(=jaE||tNZEV zGZj|0FcGSQUS|+7Y$U21i&!2O2(AvFBB*PBF&cjPx$VYV{kkqm<`QOHD`266~g||>jfOb3TC7g) z_4`zGX!yV5>1l+rF#n)?P*Y;DD5yJnb;f%)HJ07jEfrgN8F(tlo-_`X3(%@qD707` z?V3J#JUt{OJZ>USEs&15j2HusR-Vk{;e^YgeMxsAK_wuospk2v-mK5_y^flZwY%&f z10&{yN7BJ^wJEH%T7u|BxmpUX0Y8ZFU2C7e_nCa|PHMk24LrgaGmkM&^^Exz4p>JR z+?%PEa)<|rKt~|f-glhz#k~Cl+9_1uU$+t&Y|9)v12Ewmn=bjOum;g%;f}?V;M@87 ziKB}g@xcxh&fj15vxwk7*wd!MRlPcmFc-(9=@13?=`^{Dr!h}(>T4lw#n@srYbQSf zsh#*`J|TL1v->lE~L;Ip7UWVZ+-rZHn|no+B!~m(TERouVEh9wHw_inS~HlGVdQZ(;?@* z|KnyZlT#oTEMQp)4ViXU5mWoxms(WOPg#=a&e`iDC94j0)GqeB%X>9_Yf;fK5=Ys2 zIwQF5P{UO)d;O~Y<0*|g{|Jw+d4&B+Dxy zNjiL6AAAK-`g+7xLyA*lYu+)wGzX;dXh6T*#^Q;@7_y6)p}Esh5gUBoosHfq=OL(~ zQF3%HxK(gt$~_%EdWtwmty=%U@#`(1|?DyN89X?4&QMzt*48?4U%VKg_ zqoanN#RgJx7L{(o9L!Hb-u4_SH1WV37(P590>StXo0<8C&7>=<+x?--O2(E1_rgB> z{D;lZYV62#dAWAaku$8n5$w^nP?P1Y_!;8jy47j{eo|F<S06TPUD+^= zRF)`7dJ=@$E2|;7bb&od{y?eC7GlD_8``;Cw?Kz2K?oE&#D#E%CE!CLVu1>=WdI45_ah{TLD zrYv0qRZwsSox(E1IK05S65VIHL>lBk<8=Qpd-*Oec6#k{vu^hN!ux*NwllwWp8Rss z-MZLk@ZQTS(G^(Mo#gejiQ_G&9QRoyiVPCAQf=nef~8T$DoXc9nZwP>bhEDz2Q2ga z1O?k%m+fdZ>ju`H^ZQ^$%Vx|A2A#!Y@hZxx$Z`}nU9YXVE7S8+)Z-+9X%%b>`rA;- zbLFXUvq|iS&4gSC{IHp1;SEvO>JKLOP?skqb^m{{84;B!Zzb@LX}ObW45oo!ncYR% z&!$F&Bp_JW{)&=GRoDUGo;8!Y;qVRcu=sR~UL)E)CijsOY--O$5Nz9CzbyA@sDs+} z=x%?tCarARqhszPfd@ISfuhtSRPu*N2zy{Sf+H8&4TG*h>wUGm2amz7)j|Zb#o4PjY z0;VxwQ@LYmtdqcaWEU6a14tHt#_sWB4_Hg~PAAjM=Xg`&S1r#2gn?)7p?e1fNOpM( zwF&_b4<#kb5oHgXUiWluaZOV*t5mQ-lg>)NGJT-P7R25(Wk}{P=sA!_9bxz3V86 zZ_I_B8_-;XV{4!yHDKk9DNzqld8Je}g32AFvS!Dc%Qdm-PELC;B22+on?|5YPMNfP zid;SBx&EB?QhH9KBFOq{z#-BH(W>;rW>EgaW&nPmlKBwCE8qa4c9h?s=2lqDzt~Kb zJC5C+4Ja^Wlrbx+On%e)rAKRmjZqD7*_zy?8t!OOM-JT41b5tAae3vd=fByE>JOXw zOqrR4Em2*s^UiOs3P?r!?7vy$>bf4?<~aqqp}aA*3u;r)4VLE=qEaa!BUXA00bV@o zW>XsLfhl8%w=^4JmmXkH&Y)n&nR580a!*n{u-&_MaZ9dpMrB=;9;BX3cf!m3rnJr; zI8hy(()45vWM!<^sI@f+Yg3Uq#6>pHmA=!~jA{DJXpgp*yB4FOXA2ew$?$s_NC(qyR)uTB) z=eFi{jJ|vH`JVbb(1=jPAt1&sh*LKCb+@>K9{2swuN`=HR1xjR zbq_$gr~1IG{=uyaT&-7^4swYPN3q9vp0D{+GcA9(B>#a$g@pTz&IR5o$ z9R$=ZYHetuhtmw<`dqo}&hhpBQboVnT za-on(@y@ge`{RmNz(JpFhDeglX$Ji?0-OoY0<2{&3x1x@*m;O#5t$P#>cVXmXdy|z zu=ER_teb;g;yS>R1IBb5CZ7KxU-BpTN%QrWZh&U>V=q9{oI(PM8=EQarM5oEcScw{nY% zk{?J11v`BH^8ka<^ggOQp(Xin1_rYPPtYHM|EQTVt{8Bh?dbkJhS@A2?g zd&C16X{v=X%=|LUoGV@g@rMqECFY?6r=paM^?u75-rn`5QVQVG&Z~eCPX~kEsl5=7 z(l}bz`z+gFn!mgsep-w>EHf%k^lXV<+50A$9Z&9+%!hI7wP78s4_Rqqtm0`S#_c>9 zN1iY!4$ifrJL)F6Q~i>T6&V@n8ZJj_gt3m=rp+4|Ib%K}`}S1oWJvTV4lKaT^X7!gyvp!?NMB6+b$-%XZ$B>kCAPn-#&MVye8n~6;pTWR4;xmZ*Z zI!j>vtoCJXG_mNwW3Q2Y-$^!q?p6G2nZ%kWQDEfY)Tx@YioH_#FeSMm$ZzQ)FhQZS z*ffcj#u{g$BcVkJud1ojT!>7d0(@kteMG@izERd35+JE!MH!OF)@&)?nP0b9U!daV zLZDZsWY*bPzc7)P&7c87a`(GPv5{G*mJz?c-VCKtu-&`S%v;>z;QKQCs^TbTpP;fY zlrBpvLAn||dbF*YFxk9Ip_I)qs~-iGLyYy8K@TtP+fAwh)`8YY)%NmLWv ziepZUuftK`DW;P@aKpATg@PjtzXo*1v-E>&D8v(W+=v{30xgwyT;dr zX*Y=z(2%-XV3n0hWBOpB?rI*2t zIUQMO`!#gg76N4%&or?7_MI#3wYQm$0XzKwNvNH3>Kq3;u$B^50aQh2Qjn;{R}AO= zG_hvw1CROg96S#s00%rM<3ni6!fJ?Y6yIGEMu#8YIo60A{^MGpmGu%V{8?%=?HJ$2pyu;B-u1X9NKUVi_$Up zI>17Ydfol{i%2xvKKU4R+v2@IuW85>J5+@vU+WEcnolK6*7ZNno9 zb?H*kBzd3iGR7PaJ?WT2tr>oG?(UmIV#8t4MR-=%*iJ{@sxLru=7wolb+gCn-nm0c zM?JpYq{9{>g5I!_(Nn^zZcuY>d~z0JxPG6GyptGYX2sb~jIhs{s{{ID6IA2y@%!)BJNcnzQ* zJ{*+)Cz~;@R=tU^{TQbdW^gL!m5=c3iswj+KEytxc&0+uTC+>;ta~}MJyBzsE49q! zkiXMA(PqeO&kggaMG__PSqjdok^EaPSmP6MmfO%p;ukF%ord1<@_?QCGVCinJ)v%? zmLJ-Q8Ffm0x-GSBG`%Z*a*g|pcbK!ex8+ry)*E$1Lu3a$k;acM;acJe#=nk#gFI{3 z9pp&_wj6!P75IJ@mNUNRQ^4?SOHkS+e_w?A+#%l?Kc$(8Fi0fHhg5eSGb$6U)giPw zjq{qR5sWeF9L*d?IP?MI^kjt17^+|Mh6tNcvqsjK5gY-kcP0w8n_9>h*CkxnC)t5& zasv2VH@Z60vS!K5^z0iIaKf`?_1x4u#f~xcg=en~x1mMO6q&BorT(?XL2$K%O(WaT zuN@1$Ob0uuiU+}Z(ebDS`;z=D*^$=M%wk$*cj$7dbdl_^F1L{$Ru5oLi+HGgJ+Wvt zFT8Z-$Di7OxVsX|b+ut&Y^5`70LM4vI5H!Qd-JNX!D?8^0X2unrJgJJMdGlK^5&Wq zvKoG7^!G5cBfo~hdk{0ec^zQQdh(+O<% zxG8)y$Mu&HgP6YE*loWMx~+8n7wWTAd=2u+A`|*%9?z!$SDf^*5ZeAL%inhaTnC_b z^s$P;o$Xt^^%spFHY4jT@eiAE{U2;5I2sHi2>2L!Qlgjd)2HwYOfs+DFB%2i{wt$g zE*a^ig-^o|)9P@4On@2}cT6y#Bm62tn8O_w3gj88+N+?>8utRsq@nqv zi$NeiuB4`@7|tm+eB#tY6n;VV2`}0NFr_PaXYhuFP?Zl#3dF%ThsKu$w)5%h>-9ac zov)eBx;GHz=Law>;Q6HqPxfQw_N9-nwc9SD_wsMRuC(3#Hd@U0^INkWc3wvw&mBEf zPL;P3?2nY}HaY&R-igEgj(WO}v$`daF|0L$K1OvJNR%W>t+q3e%2Y;}G|8^- zMjrw|Di#7j-8VV^7BO{va}QhFFFjm&H$7cy-F*>G)EgwmvMWV=roO(%W@f&=A*WB@ z{pm!1AGrT6ll)CtXS>$ZIx{;`(FYm9=mW~svi3cPekO%lS;Ats`pPDHX6>!}HT~XH z_~C7tY_%sxqyy+j)KzA(x)QdshVk6|?e@SnE?4iS(Myi?mP)08r9|hCZ zww@=Q-!!hzH}7X(Y16xRYQ}5{Tn><__?+@?QfXNpS1voxm3E?x+-br6nG>B?Zid*2 z48v82m*Q(z$bO8M*|#-bDc_jVoYpgr0Ug9H+)98Mqt_pZYBZI6VEs5r9DyC&H`co; zvIx90Hh|}uF17u(d&bvap7HR{9_O$NyFwe5>@>|b7_GC+d1Z52zPxCsLeUxOU~ms# zNs{tlm-_JDRPTBZZsN_KZlOA9eW#ckN^H<({mo2!(Y_cS5ZS^Tfz2lM%ZSt|kQ2n> zzaq%%Q}QvK1AXZMqK6YF)*+q)73_Zk@f8g|#uZs0UK;HquIT=XI4W_j-vx@3_TtLC zpRSbpn9FFk64GcX=FIADr9VHQmG*2OuC~w3yu^V(6$9SW>Kmg`oAixM%0&pqN;yTq zrW;Qml_&BjTtC4@OM(iLkSa8$kXJB7j6T?W>C;LzNEnXgenWI+(i*5CHQ9Ux>@{5) z-E*RYAikroid_+6iJ&p=`U0O=!VgCzBj(;ohXUnOykP+t>KgaFJ(g7#OShmgJ` ztWNRi-(?Fqb^xG%{#BjQ6PNeITOFdq?;N1Rzq%8(y7V_pWUp!(IFbwr8PyEXD}Zm} z3$d?-U!u{ESey6Qy*@IBh*RJE5}T4wOgkUsXSe?FKiq{6!a!jI4*5Vt*8^EZ_6-oX zupOm8N^&zFRYXUfUcpR3CM=>a!q^?->_a%uY65>;NPs>NWOtRC~lO* z>0yq6Ue&j%uae29^}8gKSCW+GMn&kjAgzeN{7ipiOx+Fl3_M&A!? zg}2<>Y{iCS+IoTvnh(lM$>l>#5y4jpN zKtVxoFsif@2q}z_zmln}DcXRjbWyT_TYgrk$+g6)I4Q~uvXrf?Q05XzGa_fM{5lCR zuF({3fvC(W){M5)t@J2Ym1RMzQAnD`(Q8s}ueXoD_p9AgV|}IhQ-noyKHBVh*nk(p z1Eok|yXuh}%xNIZn~j`zKZ@G^kST*6bc%e}eIkWaziFX{omN||j z7=wQwvzL6#q%hC7->V&Uv;I8MVaxB-N>7e^EM^tk6VVdjxKgXh%7UNqB4bBUd9`CH z_FkAPy0QxkxOM6qK~pRjRzI{-RVnC=H)tprl{<+;u(MJ=Su8X9&c!Q+(7rAhfN*y8 z6JXLfMMCD)Ni5i$3oa@sWmT*{q9pA$&mXZ;lp-oMSj1YV)!bWqq-Il1H7c-Y;~+&Z;0=%h^WS_bVMy z&&Y9m{P$Fer%~rkKY38z{ZXhOXdoU4xfHt0SnH|M3cqtX-56vqG6<;}ZA@Z@R~NA^ zNJRGSLKk+?dB@Msj)8Jpd)E$m$)PUJOXaJ>;=^?tcniT+TH9bUrN^%h_$2faW~Qc~ z$druErbouL2bf4KB*!1lJ#yEx5qLkoUrawJzmUx@l>;kfH-rooB-}(bF;W9pTt8M~ zpIZ^I(_Ww9qYl7E%i~5HUBYdXoTXoHW)>E+A{Y9lYmsS!t-vdJRjeR(3qlsApV}u3O41lt3`jzw=qELD?gXVeD*sNxUrN z>ZTfNq}C7~QtAigNecTg4;7cR$3ufkD{TF=B+C98qksV(Btk|Kj7mZV#4wkJgpmgy zX%JYG6~qDsoh!Gn3e8B&2zG^@p-&icR))hc?D)>LNpDU#c~*5R`~3dw8@pcLv&LM& z`g<5&q@8})jx;);(~FKH8w+jr#~yTWl>}cKQ7l41LrF)8K=SrUKALa+;q>o_kJd`c zboj76^@}-PZ_rbL6_MNbLc5dQO;^XD_AAw$pLJ!@gt6o$RsK zE@scR2!)0JYz}SM&^ffLMdtF`wDUNlh5erp&fjwEV@}5NpK`gi;Ea?~g+K4^Pds>% zqpbrpQRc`s`bz8^KEpc1?p!|NdY!!7XumeM6Rd=6ka z=tSfG;?T{tnmAC0ZE{-Fp#p#Eer@ytC`p9j)29WR_^B(s?_rAU1R+=-jU*n*hC~}M zta&!jQ4Ucfnk5frUoFJZ3}>1xV+uww45C_}YWhb!G{I2Q1Ejv6Mv`QCnZ<130aZ3m z&FRc}O6s@gHxi$JJCj3XH8CHEE}#D2)p!={im@kn#z1j?bs)IcH ze_4UV&?~jzm&M(;*yNx%A=Vjb{n$BlhOY?1dVI2ufU=6lRP8p_{a3n<;hJ0!|ClVI z>JC;%hBn9rb$nc%of>I$yHY4M*J*cY?Q7Xfe{Gnxf z#sd4R0x7zf1RVEV>j;z4#o-CmWZ{;}pnY>|X9Y8t5$C#9h+;IN5fTNoCspY>R&!=W z>Ij$_ARH^Mytn7c03o%N9`Eb5P?)h;o<0NDL}-27IriU=vbK+!v}x~qN4-t2KiRr- zc84hL$MZ>>7}t3K{%*gxFmf1&upcT-eUa8>o;P2SxLb)7@UwOLDF;3qQ+lRPg6S9e ztPyEcgicc})crwe_+6mn%Nx(k>}B)~_mSj5khT=k%Y{4qhXN_!2kIX8fWJU6i!7y} z&l#`xZi6~VE32lWjDk3f5lM_h5QLCRDCI>!IyPCH^uMgWsl7t|uC=frcYTZde!gf3 zfTtVS5`0}?PrC$N_NGl+%V(g(2wlctyh;|NJ3p+ut;fz3;Z{~t#L^$oNVAm-oI5J&b zTg#zmPKqpy59L0IR7L5d#XMw+8Y|Qom_82+4jb0p_i0e;H15Jq?yX|2e0VZ-$>`nb z*m){!n)4vCC;E2f_tE3HAbh)pRN)s=_O^RuP4xd@W#9Z&!!KUSs&EMhx;3ERj|y#{ zz6K~D3-ueB<6~AEXb38`E^7ur6j?qhk^Rlt=#W@W zp&1muuC}%k_*|*tA^Zq3! zf4vjJoGT1*mqI1tLn=Cz=lec9NA&keD5em`6#SW)O)V7NoYI55qer)< z6)ct>O2|dzF^J>rUa8v=t#WrI_BLgH055x*Yj4?XDetyV{E&T)o`dz&$QfoPMiUIX zm>9-K!7fqz9H3<4C;-4M2dScJF=-yDAlb@JbzA`iKnjL5k;r0b&M4OwJI?1bF(^)3 zvL!4nKF3L2TRI|bJHD-b9&e2`R~>S7Uk=E9dz-t@VKrE=9ezT&+wIO5tv37DQhaCH zE6)0sf422<<~~nJiE#kn^6S%*3fL8zHaf(03VLgCmFTJlv2A0Yt#X|J#}X(V6Y;6C zgO%aE_{O?8Vo#Zcm1EM{br+(n>q9Q-O@<$C!Ozp)kTrZ&;flJhD1wE_{8aahl zAW6#XV=5#}9f}nRs>hfqaB$_?*9OFze26M^aVxHnL#g=EFr zoAqY<5W9g3_m~x9gpaL!5$?O~H9(CfJHZ;H=Z%sE2X$iKy9dgeqC^Ir3JJ)JiQf-+ z8eD-_$CfajSV)sZi}4pGK;=V|Mk|&b_rO+t>gwwZ%m(( zr9mA_!o8w{o#)f8A3AsZbqUjQ>u?DJMP$&SMo_x(hbg_L=W*w*XoAIsqkr?S$}mXH z{VlAvDC5j_Oc6e87BL)_5cfCSPLR0wxxNWbjR0&1sJQZKsjE+xIz$g;%BO>P9Ttw+ zP=?u}|5q#hn&~^;p$gE_a7JjKom*3v1nUH;aZ-G|i4Z=%fUtbEq!j1Ij=D&@P_w#| z`qMDN)r8z8*V11n@RdB&S|_y;F0l?xkrK_~$R#Yl*z@h?Xq&4%SspL7<_(NmO`IzY z@E2b^h=ZA}=_}(Vz30uD7vG!r?z#8w3;`Tr1cig5>@X?;V7_G5cq)f{0gZ9A;x!O? zz<@+Ps?D^T8}m&@Gbzmrs_BscqYxLFvU>HVr&&F)TTPF}lk3!z=Rt2Urwjd~5RZf| zyc{db@5u62!0>Fq>{F4mcKS)r2-NKGDf%cL3(N6f8B%f;T%ANqHVoxxMPtR`^1vjO zGHJp;#o4FDkh2&mT0D7=<2NIO7}rT%L&S+iR0ns;1jW)Zd6hFQGYgb!B7=ku6*dW~ zM7(+R0@ZS_(jUVHD9i^3O9k0`QXk2;Eo%Z!CBj^@vna($H&X189@t_yLcP z3|R%=?!?AIH^s_R%<=PC)EGV=l0c=8$tAl%cfT5J^AYy=dB1sX9tS{#)J<1=7MYk_`PQy=GgskXH2C>+ z*!v#sjAi}R9bCMl-179?&G?$y|18PjYQy0KKJP&<#Dr6DA>^AhoR`<;B0f@Jg1GT- zHIQUvvf)ixEvaBls!S6Hh-l=MO!1f(^khNQl#-5)|CQ6+zNStzHkxY~Mle81h3KJO z@Leu1P7a6sI&_~~p3b{)DXQ|tU06^&MOk>o}H2aY5m%UI*SRFFcj zfXk=8Z_ThC6&HyG-xX0=7X7Bb2zwEG5pcB_OM}w~RX0ZUP(?dN-J&`%mu;Dotw_cl zN{z0TX+`3xv}P8r+U(F;!oT+!ct(aclQmRZ)ankmtza#7AZMFhyvyqY#W3de%>|oZ*e_m zJA+ORMesuM;w^;QO5v1}5_WiWK3d8I!)26#9QJo`;Miay-A=>xmZY-Y8ugue7RQ%^ zk@4+PkS7!=*6!|h@55q?n30R;mKwdLw5RBeR_E0csxH(%Udke`!w7-ky9zbALk+2O zU!~Uif?B`&{D#|^mW!90sHd?1hq-%-6*Xwv1H5e8wr$(CZQI6P=3cgK+qP}nM)%h= zf10Lkde~(0W-`fpFmw3KJog2XM8z3I5brf8)$+2HXf2r z*UMftBaU&OhZnkFDcGQd@*Ag$uXov-g2n8VKi4LCgBctDi#dw(Yvh)0+}^pRf2mh( z=V-5%iCcYxd}EKh+SIAFRT!Hc%LdMExkQvBN!mOGZ{YOKq9?5kJ#AX#KpIbaA6h_| zaY-OX;%)+or;5hH!I9uw;%qlE`XeS{2jpOpJ;XsI)ugg;L0bQZux_VDao3 zjmb~n5x4cw;uXIIAO_GZR#;kb z7BpZU~ zXK`^b<>l$=_WE3KY7aoDLuoDqi0Sa5aLp#6{OzNMnCmSND1mTFO-eEXDLVFF;#K33 zlVgNmPgjC%@^1gLVtVDX3XYoti<&Dow60-%+&( z$NnKuT>GJ^Kp-{$>~`vICi!cBsbDH5Oc0*sn9Dr1D;32ISbOK@*7kNDb_bAF2|gQ| zJb%@X%grKgRw!yHodwza9z2Lhaa*JXhSQrP+)E=L+sr7Kl4qc)6Q5B|kB@=D=uOny z$_sfCl9P+>Ywi#^k#c(b+v}+QRtF|P02;hsp|_wrAj?FkI~tR8Hw+$?ln>ahseR3KhAYs;jSNb(=hsUjQ!!bV3qKJ=)wIi94q?{vCFB~E*!W7Ef~y)^7p2v za$}^bu*qrmul%OW*^T*<$oQE-^2!#Wz#b@KZ=S%yv%yrd$&q*aYf$x{Z1xjB-5dIU z8mflHQlRSOG} z7ZXESG`rY@3lYEyhK@6!S&lMo{ zs?FT3tB@6qBvIt4lkOY(|E2BMtd5sFNCRR#U^kTTNR&)IF%Xc+5H_`A-f;R0AA_Yb z^si-B{DA=>xdc%{L@{W=>^?rSnyfXz<(5*H$EDrnZU{i{Yeq2o)ijQ*m**|UmUvfc zW+QNX=T_$zCjAi!ud~5#kn(GIGW9MDSs(L5@UWvNmfl9U>2a@pVY-LD*$DaTp6AGn zHmZEGG#*MVPDS<9lWzYW??LYgsaD_*kucp_A_-iKzVQp#9ieRqKz)jIkrA^9rufj( zkWoGS2Wvr_qqy#QXzEWdfC~y*pi{am`LSIdPIybCtwpU`<)wqwnt`u3S^ZK)K ztQe8VNfz5&0ZqPR&2UIx2r=m4Bk|G7*jO3`Ox$-CP4BWC*a(+Fj5z^IE(o>5SxizI zK{`a;MWANxOk+Fg?F?+pjSl~Cwlb!BEcq5EiXn3u8?im~EQC1dA61H4?>WLJsDn~s za9Xk3f=h`yo3=NYgSEq{#Js;cmtU1e+199+TMjU-Y|NKmA-(?ulaU+!_A3v3lszN$ z*^icbqhS(*U``f7?I~vBrnG*%&p=*2P;V54*26)Nf6unpOH4Dm_Rf&@`50xl+QPMl zz001v3nt-jh!U5-iH~0E;{AJJ{Oj=v6~q5x992;J$rEC_jT;H9s3aZJ+u#FWoMkA> zC(IVKf^Ls`B9JwR&&OX8>WLOzs={=Lfv#{!Sm)pO_E${K_~ z+Vf_X&=TIabjB07mu9VYzK=L-^e=8Mu8Jc3YiTy*SD33o_3eD>^WIovETFvVaiHw3+jCqziXvk5vVj)NV(f-^G$aYbqZP;sU8_ z&SPz5@@N38VMEbLau3`P;T7Fz6?i)oa4@5m0|MPgVS7Df(VNGBnC+{qbLd#|Y-*Ch z==t8?nWg_BbU#(&{{6Vd{QA4ef(Z}6y(&=Rad%>zqGYJVc?2CmS6aa=nE>OoonnG; z!<4`w_i`8FL6UxIqliKkO(YZFvS)@gSA}f2uhWETLml;Zd4j809uWl-1-Q@__2v|mW2;EZv_spknH`?nmS-Pc4VA2aY7_9~GXS|*);~7PHP|^E-S;1*xuqwbIT{Li2 z$=yl)MZ>R?htfp=v^GOE!5YsUmUx(cr!r7D@3=jn`SbQoA(lJrSq49(Jt3^I{GYc`Zd>*HO^_?8gqwCgB z6moGtvwccfT-NA)>u&%2PVeov?zycKp!*?yOtRn0sJnEyT+ZmEzwU?pxopeptDMZV8n@6=Onf09ph|64@T4uYAeX zrH76FYV(46!9s;(c7d!C_=@s zdsb1g#aafYw%+5PEnVPh+)5mqlS|-cSI?xJ z(aQ%h&y`8$tzI64*^bwJ&qAKX&lw&7%ND$(Ek$5G^G%Q{Wk#Vh)Sp|tzR?dWF zxIZjSlNAfDs7Xo9G8&OCqek;`wbHM}?Jl-wNgoQI+5lJ(CjH*4vQ2I=iN)d-1WdKlVKxyO~=_ zo-iI;UleW-|1{_8nI?5e?%LSM*pJzqx>0v7ZGXH*+n$#)eJ=TR_i`z!S;3B1p-zkO ztW$DC%_aXCW@k5@*OH)X&S&qSmMTaO*oZHi+>1s)pm1j}B_qM&i4X}e&PolYt36}$ zaiKDzDlGBe^DC4SCaW%wjE^2hR@6lDjQzurR1<1l5dViw1P9B_J0P6zjec1bXluwd z?3MT0O1*M>xrVkHZZgHzM6(58U19_RW(VPh*i9OTAdiS5#DHQ=hcr7stwaHWb}pTb z88QhFsu(sD3ql`_c`{r(=Q2o zU_gGdLHQW$cTWkVrMTlxNX0%&kgh9oig zCB}c^9NZJmXnk1Yhsdd4e|>ePq|_ZKvcqNW(Vv;JHy70zwej+N3)hzt<^zw|H!I*8cN+4QasI$W@ z&@G3odiUrCnvmQ&G-S-?AJ`Fc3H?^jNhc=H_>ZQ2J^#%I&t3_h8}!f}n}8AdWVxPa z8*t!N$nzrRZ+4X6swZ_d6iiW7(Hx@Slb4f6`==Agi~T|P-l6Rq&{z7f?T&Wq6dcFt z19M4ysjdhH20~%dcf|z|zsRN)SAn);B-B`}((}*JYdH6ims92smR!Y^8%33V0&Dt( zP%=uEOW6#@%qg@*vx)?v^s#S81v4j#*U9{?IF$EtoCzO&>4d++|Cw3Bk2C^uMi+OM zT<(2d5j9u_wChGoCxy(X0#y(|waX$07~Q2mLVM%uzDwT@mOLWE*Yz$QJxmGAaT~HP+*!?HZ9jHTPk;;n&&IkD&%mHW~c@!!xab+gW_vyOCy}# z?KTW5Qb5{CB5?#jpl&5Y!D(E$<-=r;6GhMzAn6q|XZ$O#MKvi0t%hh&H5Zh7CST=s zQvS;!QKVRcZUX8^$QH_+qlK7Du<@Kte>ZI*w!8sh+aD|ihVGXD`k~Xeg?+&_z*=+f zLmqd-wL7DUb)+jB>W$@eg5VQ02HUp-`5XWvfm#e}1Pk$AU^9BLL7O0Oo1!C%u;EW2 zcxumq=Oeld4~qr(v+ag$tkUf$*@}JifxbL2=?75cruBSkJW>T-||j+;cAU~A#qLX z70yhYo)0J5`L?YhQn5e1|*Oew-) z)xj{XW)qtQG@)u;m+q|^)OKg_X-{%je!D+!Y`+MA`14K4Q)BLgCbM?-^hyR?Dso_~ zo6ov4=~ypKyr=I{j)Mn#%e)mJSYA=xU!bN9GkJE^tnk{&5G_N@_|HGY^)J0bQ>O}U)(Y&gqvUIUeWs$v?)7qWV z%+&&H3N&Ls!kSJn(ELGvx~DR+*aE=l9Ao0E@5y7U#9d*?44w5)9|ai0xLV^KdrY-C zc}<2&^V5e>B1W(2p!K`B&m_J5wo{#+yGPV4ST{6XRT}q|wzS^2Cduz}7-a9p)4&M& zRt6SC>eys>bk6pb^$y!|2EXZ9$BlTVSR4WFd>&plUT$)_GW*A7z}a-b8A92gnd2lh zYji{ub&WEl5ojUXGl@T8Lc{$c4mNd^P0S|v>DtCCASLOGK$eu%Gfu_R_8?frrWchp z$0rX0+GiO~+uqy1o;SF+xWDM{>_YNFKSG2zk)6~bTB)Qm&dboX5UY>92ly9}H}f9c z#}7en31c~VCr_dD+z~fTS(xTgN${E)wB&=^F$xYckj z&vjtGNk{ue@28THT9BkRA&$a$k08#;eEuvYav2d@XhUdW@U%Q2>V*h~GHeoXLZA-h z0Wtr0;~hr+)GfmD@TXrY33V@U9N6bMTR$ zq4)~!NV3FH?4OIec`5cUMselnnLzZq92>Fy>PWy3f@_V7gbNAvpE~Eh&WvU6Pam0e zb)L~}ZeIBL`U@ne^lnL{u3?3;N+oR+;3>#)$W4%=46CqF>nmic@ys?4H20f2BgSJ~ zHbcR&{|&UgK6-IrZ?mi8NSz#qy<&vWhPOsqEIr`i&|kxZMg-y$p;04_dEW#3LW&_0X2bF>TpHM^00)xG8aV#j=(QlLN+=#YaYa39WRse)q_ zU!ZcO!mX8akcXQ|)>lHWWWV-j$4~sskmF&SF7FITkelBNNMtLe7i>csR4uqng)P8N zNnq51$s;o&l2#xFPd8>*6so{-6N&GKu&x6LW4E*LIK2DFjV=ZoDX!PRHFlMI%UYxJ zBjZE#q*2VaK!(ORN|TEM)ud=>VAMuR|NQe4Wb(}{Bh4;fzcUPu78A%##xX{({oDa6ht`nRH4dljUfaDopj zu`Cz{R=zq-g)!9bvS}W*EJJmU7R7a{kP!c~*B$baOSJv<=F0o@^LO{w+JWi4(HEDW z^WNli-dvy8=~ROrt+&xFLV<0E286!rJM%G>u4~w<1xUjMZjT0p*L$uWi2@I4CCXL_ zTlaRG>?RSfaI-51L0Rx;gTZm7UQ;v+w=&p2VI;;VnFeA9T@yhcNe5LINgsO)MH77& z=}XK-x=Z;61~t@3L!9izI;DBUWJZa)qquSS1Riv`d;*iAJyW(J9@5i*P6@~J(N+1<~s zMR`0?ZrHO_Ct%n!PG;v{asefIi>aDyY|B}EV&t_uEu%^K6a~1twqFD9Yz&z-y4@jC zyqb%;*%L;K)YDjaBD0Ytb z1#4G77h4Fjzzd%av#aL?Gw=Jy9o*MJqqI8?I2vU*nwzx00NP3xC)n`M7&`H*Sk~Ch z7`$(-MXhB{nOfnqI20n1;d5C8nG-6W?9=-p6&xgHVb&ieC2v?8nN;qf21uk(bcRW} z(00dyyLO{Xc^SNRBK4kW3ym75nWKiGbjy=19w>b~6HCmE=ymSh+q!pzvge zy+>?U8XQh&@P#N;MyR38=|&|WUEdf@D`#m<5>PE->b1(~lHp*UUQ)z!Z#3E|Tgu$F zzln(t;A5L^Cs_SC--w$%A6H{#Y`{f)EUl{F7zvEvGUD)^*}S_G6P`Clq;8pnOHdOc zt6C?V3&s`QEN!NkPhe;6YNw3&8k7P z$FH{jEu;s5ua&gEeALHKAv@2$i+`zqE&3(ivA?Sa&p8gg6;`$WNVR0-k}L6_vi%$W zBuU$uMo%f0l=-dN#vi8`c1}%c;CInXU(pQ-;FE3$q?1gvs{(4kRv@XTRbCM3TOlc_ z@!Kz}-ObXkZn$u%`>{W-c7Bi9r?WTwJ=fhm`u<-J_cf(*Uq1e)5i#@4Zr6qI^jE)h zGrhk^BjS1&IcuKYMUSNiU+z$+bX}f5vMFNlgd}>v%S?AE%wr)j_zg}(QM6lnn?-s$ zWN_9Y`W>^8M>O(%=nNtfRX=S-7<$X*%B3}g?6Qu5MoHGu=XGpzSbi)T&=k;UfNC5Q z-MBFgxBbfnn@Co5DGFo^dM`Q^Ol@_uy9Uk0v}A(?f33%!GudSgTi4BBG8f4hK?hGf zTwUH^+4p@FklbceMKG2)@*5(I9g{m+76$QR#$bd-b@~5*z)1&c&!o&furi+*l~~8) zJkpdZ*!4Uzl&MdXl&9evxx&*W;!hyoU z;!?PL84b_%=vwE@29th0g|^`LGQF$rpXHO|f9b6r)dD1i=PTYJw()^}&v=Hg)v;^H zdRyRMmx6kQ?i4{4Kz3xhE$*aCtDm!|BBSu62!SL_8~{d}NRm}ltsxP07sWj#0kumV z0kunlh$J2nWg#ATnHuu8YqJkrimAM)45Y_QF<~2$Wi3ZDAe2{y6%9YWUt9-XFk)F_ z9U}Grtf%ZQ=1|twLPse49TXN8KDs3)b{8tTm?3SU-_IW2yqnQ8j6zP*g(tL+$1f?+ z|14EW7QHa;RWj2I`sQ(U6EY{SGp*(1>U8XWYoh`=Hu0o74MbqVsG3nk6ElF3`VxxN zcgP8(W+FsNKwmf(X*i}Y!(JX8_Prpz7uMvYJ8aS^Uds{lM6_K{v#u^u-6?BYpnC{; zV&bL$&H0kV`&&!>o5KGX5>!DIL4;_cnZE=~zI0fRx~_ntNivk8BAlp#iY3g0F+o2b z3GPOwG;Vq2UM8YJTPy|7Elt`EeWIuy@e(lSZA-EiAAw*eRB0G4fSm>E6L+Z-;Q=Dl zWlS;V&eb~oN817A-V(nk#h%`fN=&A*&pRe?2%XthK-!+p)^EEt@B%7t&+l!wRN@T z_HHT-4n2b*{X{7I#t5Z3{&$!H*plpUAh~iL@0F=?YEgLM&f_s!ZA^6!cHynnJRXd} zc+y*LRt7-O-%ZW4IZIY-oZ`FhLtDv9or(-}GD&99fksl4k(ZnPUyrE|!L}Y97J?`D&4VuiGY}kOceW%Mvo&@T zM}O@mVjn}F&dt3YLmzI&o&&H&C%RHF8hi?45D7g$EshrE6xnAVLwi<4NOxld9nnlv zU4kHtKjEwIkeAUs+01AKddCTdj?<2MLPuhzS^jXQf9XN#mq9pZuj@IH+L)AR ziEX{XX7d}rS$G082?AwO5XQk&gqvD|udr+44%CRFEYu9ta-=}mUl^80raqWjdW${< zYH5DskejBceg z(`9OVoqw}GXmh(#J^Oo=y>oJ0-^=-vzwOL3r-P$#BcsGn zYxG2i$6)_Y7dD6Xi_?Eav<=kh(01}hksm7Z8YtQjDyjI-@GGJ+*#L3+QxgEAtV*b? zD%kVO4PGXr8VXYpypS&w8WlYzUc;V$4wT0*m5ybKJckx!{hk(3kH!5tUCeAtBTDfl}ajT zNE!}3wt`L`!IN|sW9(io{%=tT#Y7%=G&#Wy*ULkUt9b6HlD^O4S1hv5)7`jdCc63+ z#*Kl#ce(3Jp!HxcAy`y8w^w)+BFc7jC|foy!#@AK1o;BlqmZb%mp5U}{%xvaT|3Q7_>qpt&&;Tni2mo-b zIY1}^i-d(E6+A|s6Wg7B`A$g=pc;O49634Cdh!7ZygGNjjTxxn*7$?V{I`>iln3}i z<&edr6ajD=X4?2FFsErgF}POJ2Ka_`M-3$Kcq}3n=`StpXj;c~=k&IncD*A5wtgg7 zb>z7;AgZ7A`vZTwSM1cEpeI}R-40GBm!8(k=AFgPPA^T^;g=q!ht>T{cmJTsgwKs- z>)u|MXXOVWU1HfSkf6LcO0mmDZ&V)0*#T(A)CO;xmZ6-okSHoBs>l-*+m_c&p=w2J z9RnJO8YDGpOk!jkG&V}vw&gXHt5*KkT?Ts)K6<<-c(mOHaa-OgQip=P$jaf}W3)Z7 zFZXx5d59F%IAMAkkd#$uUZ%r(B4DHeB`q!T>tv2hAq!=W2)oYg6hMh_oX?-!uDnn3 z;Y2F#0EHkeXyJxpT8T;%YTsg*MR^qjt{|^D-l4yFC{_Y+AkMK3j0HB)6xhUCgc5<; zL>6X!v2?{?0F(~KLJ1)1CV!VyGbt3~a*2$1eEjd~vnN{+Q6Qt|;^tyy(7?zSOO7Q~ z>F*j1wu~x_alCZ5o5B6wJ_H^o*;`p}GOPUFCRXC)ZO%DZ7mm8_P7+DI#ddl59`33| zn+M@>w^!-)z7H-+{ekGVWwq{^`V~xTip*u^5l$4+q@8A+Cfm^4XtShMKot?TGpIT+ z!vjn*e8i9@U!Wx**0C1W;y-@77l>8wYn!-Y7j&AAa?^AHE9``!8i4q%N zDWj+S&O3mu-`3OA2qdN>G8u_YjA4=<+M#6(o0V(Ysby^Y&iACTqs0snA(x6{6{|jk zorN{f?$ah@Cj>8iP6Rd#9*38>N%yM6j&@ASiPMWdH*}pq9tS({{W%J5$b!ez1{UPN z7kLmwRIIbqca$wepjIWJGZ2U7@N`n#!}(O?GWjd~AYGNbY*5`Sruo)l7$A10|vBGei(nfuCZWEJh;+JfuVrtpO6bP)m*&x?MT+ z7o5Sh5bVkA&Rq@Ir?YR;P7(lwNL`&i4zEPh5U9gbCRvu3$oH(7u-yl~FL zFv2y7<|ye1e_J3CO~5x{39M3bYVKCj}q#&iL^EO>rFH#XCn({H^bqV<>nPGjl>69viFy?J#X6H0V2Ia7J_|l7?iLIouo9iUvE7!)3s23Jqq{2j$Qie0xwUP(`@+2Ag= z`|10rja|XD20U20o1@lhvfi`0o)PX(c82f=#~p$T>Ei=slCRUpbi)BEnxrjW+9<1F zPo#<&==d}%vCR>8w@pq8xCFP$>TXq4ZYX343-WEddgag190@2#UC6my5;GQe5~_a5 z@Na{Db-kjF`rB0Y_Wg{pyO}xuPU^Tv|I0woYTtpWA!-~TFdRI#F^qJlmF_4QcyNp@ zo>&M+;0h$6Y7#!ANTiCOKM3l-aE`PRS%ss5CP>vxgysT5D^O3CNaALvg_5Pq9Byt7 zMbTrmcja>C%G;er_nGe3Ea%?#*DcFp$v=jMCLBIZG?0EYce}3N0#!_qSZEC_$VNWx z@nN6?QW}I!474PdYeVh~xJ8TeK#VQGX9yf5_y;<(}Or+XB?MGjE0 z(EPzsqV!O`0lCnt`?&kaZIoMKjD~C-#2|h2LwPz9kg$2sUApSulH5w9??mQrGll$1 zu6g5Wbc?1aeQUy&G!~f&9P?!u)kAivB04QYF&racj;#NJDIzkkj421bq$r#?COrBl zAA#yZ42%v;F<3$41i9-AiypD&)63rO zwUcEF^Y=XLc+jDucP8dl{_(!7m>s$+d!^hawbAgr984;hd8%m0@g6wNx3zk;1gW&9 zC*EJf%apS|VVv2wUdL+dyn%1zsSn&X$A{r9F6_5taYUT)xJ(U)vtw|fo~tI|@@674 zVji&$axOw_Gm@N$rCDW;=-5^9s$#KVi#VpD#thw>ej6`MhiR9Z?+xEf?(Ju=>8Y;% zTti+Sxy(g0251rR%)98gkgkQa+R3Qw?Ky_AP~yW;CK_J4U&WWQ$6TLqzu2d@e_ugW zS=FVI1zaZq$^C9{Op~Idn81f1g9JFd{HNyb@;;lOE^%>2(KtPF_BnR!j2($n?x~%b z8m?4CzLp}CO-M!eOo*T-P}>HOi(x$I0cMd-QOOT!k~C^b>Vak(Y4-1YQb-W6RK%*? z@!|`DwkGbuT>w`v3uaiIf^jBclZrc}jp5@hfJYj3x_2e;osC0Dhfq~^)JMel$7E~p zY0sffrbwrF%c22T^;5!eh=u7)ixiAhkCd1&#lh6m`mL8e)&FIPEqFwcT2g9dnIS&? zt}iqRxwG`AniUjV>cth^-VtPOLOPm&?F`0)Jw{OyDpAOqQ)8raCiB0HBL7WTH$C;s z^iTBs8hI9d=TE>$`ZW?=PJC~DTzIQZ`)qi}`B2T!AN7mGfn@Y&7>=cqvj=V{Hp4KYrCurbW8Vg-8T#*Px z8%d(~%nfW6szIpAQCVzt3be}DilJCVEQrg6M2DF<`G4-cxd@uY%Y zdUmv~)4lVjc=!9OsR<+ZcHJp^|7$TGFZr*NcOUiZ=!$RJ@voF5DH}15OdX@B82ruW zyPs{7&FfWtjYM7f*PIxW*y_-2P`@<+XTMB-8n17*QnXYwV+jmcdrSX0-2!UJ$PxXd zy$p5Z@NqKT#4II>K7l%qQ$*tBX^LEPf_gKUTPx#2DBck9^Wmw+0J7*%W#(h%29XR- zJD)w3lewObj`QX?^-J(frcH6|r)-m%9zn2jU^B4+ymU zrxzW83kKl_P@w3SdJH({6QI~)(*@2TOhw>bFCblUsCGV^F<@Q$*O>$ja0(V+${YFL z&7OiUy}$)!z!Nb*)FdENKF|#>v_f#tQV)3DlQ@7YE&#O|YFz`A0qTg^Ge5uzAM_lD zj=*9k0Cu8#fAcSFlTC|3e-J1%8jVKX|2p~N2ZUe{z^AWMF%p7+EAi2&!)~Sslvdl? zyZ`ta4kQR6CIt<}RM0~V*1TT_i1^oJeli$zu{7|M3QSX}-D70>?OUlfxDhtuDtl=t zJUJq(`8L9SKDkm3`<8mT=f7=q^In^E@7`Ww+73l9C!)jOfV6}PNjWb@T%tAW>cvcg(>srC~e?5~l*bX;vgPCeerQaKlDptREh2*%^4W4Zg_O`1lF1Kelqhz+0?)LKT_SXL9uW;3+mDNhxD;v99p-Mq;CDpe#8=~4DO&_?w zCGUJ%G4-A zkx0r)&d5;>s1J*GTw?hYth|kFB+V48qJ+%y`5FG;DJeol=0wFYi}xv)a)<~NWf)H|L%;D zUit`3!BfhB`pTez(I&feegliiie|u4j_o}fPKF*geU9T#0MKWn@G7kX&$889eLkCi z>^lH}!QtbfsdD>|*#!as0f6xLOaHfA9P@v1asPYvP~!iQJ^b(P-2Z_+WM-oO4mf#K7=BBY9Wq(l)x{m^t;xpFO)T-7U3A zmuoY?qxVs3o^9pAS6$mpmJ2M9w2+n=5+pI@LKZ;)QJ{YXAkNSr%b}rwLa2s-1Vfi_ zC1}-OA0x=tO`b>0CZerKkUXb2w+Y&lGQ0T)HHg=j5jG zJ-*6+%c<=kcR5_|Y>U0t%=B`eQqQ=49W4LFZk6x(UeV9G{EE3Ux8Lgctys+Uf7gA_ z?eP4*puY9LiBhgPJncu_i!Sf7gZObf{yt)@Zm*wP_I34HbNDY+^Bupc%g@*Jage#!?Wfp( z;wLWKz48a!u5n8Jc(xsAL^ahhJaoXlU9Og2^HSz$t8lkCN?Lp?$hzu#oma>EOf1VN z>2Z3y`SSOQ^KTLib$jHcE9>g*g96W1jY0sN$;i-exE>Ee)Ro9<6;n#iGAQTZ{B6lj^|k#aI&9(LSQuC8yO&Wr=d7d7Zk~C(yq!$l>r`C z5eXb?KW4vXf4o8IUQ!V6Q7ceBd`H<ygUVgiUc(+{KWD#ZKY5=$ z;FEcMt$AFq8|10$HNpx!^a+7K)FpVIIglF+gq%PwW0tzN_z`DLl&59ZZ$f2`=7P54ZBpEIUk#xQ0~ zFYJ{y7m^=8cqhu~{$osD zSQ_V$l_~J-tHsQx&&}XfIK=F4t0Bl-*HRW909FVNLueXB_Hp8f+~@;)~j0Q#^8u=BioCCE!Ju5p(oChbHI)E@0?fT zYskts+`q?$in)vL(Qy~n@H1Amb9!9RyveuXzK!D3!De7SD*V^r+CI$q*mch2J`CZ^ z9WN{=VTF5)QZE+Y_#wLFdxe;HoG04e&wyikK+}eEnzOmXKZIxY9aD+4$WL7WAC70- z^7Uz<^6r7NdS|BJ`tLMGw**hh{#O2-aQJeDS>b%fcS$+ka4$JQbl`-}+y`y2P9qX8 zOf$CsPQKqC|A|M^j}u1I+~APuiwW`Y%lMUXq_(RYtG=$q@z?!)X>tpoO1qw`WQ7h#iut#8r5FGqZ9#9$S! zs?u&Zr1vGLN6uyP&!Oj({J`zXYTSF-NcC))?%-a&@rF1j+hyTK3wQX9ZdflF;-w(| za>nv#R-Ba&Is*jW;oPrvPrCBpoTm3yT;48{%{amv*K-5 z!1Tmx>>l6kIHvt6$am zm+M-^YxF8e?#ndJqT*-BjxH-dt3BOe)=A+>?#Z2I^h=fNJxv~;Hc0nM=nGPHI_8=2 zx2X3X;oCEykHst3bKJ`8X3zR33q31*bI!^5sOQ`o@8DNv@a%%d;p0Rn#4oyFZhy{v zQP3&VOtOG|S9I-snojp>_Q=M+N`eI~y-Lf97Q9j67yY{#etFQyQAWC?3R0!As`#A6EhWB6&ZJT89nhB#l5?NT! zk;^+W@|#4+!(FEQmgWmH)(yI_VKp}I6@HB+6q*rlw*4^OcmKqb`B-^vK1T6p*0OWHiZ)LaD?CkjBogIe?pdZ+BQ88r|J<2u z+5cO_xoO#D6LY!tW@15)4E#bod2Fw6S?bAU-XxirOY-g(`z-S@dYAy?F?`S9$rjcT z?#Jdk;Oyvf4uIJ}d9Hof_de`7{^7`51dNw2t2s74mqw@+>#tK&&p(he;W<@rx|^A$ zP#fgWLx%InaxXuxl``W9Z?GHg2k{s%OAhoy!_Jcnbrj+V`$!XOzooV1`NDl_ow&lG z&(yD%MbFg3ihpQD;B%4|wo9B}WB3y?)|!C+lAvI|^4IbqaEsx_@nz&0e5SIkjd50_ zkG-ZxxU;=A%#A$Q+3-5d+R^FY3srs95Zlh;Tzx#v87G+hSgvJyy>8^-g0*Uye0bgm`$U{Ox4Ck(?&QEHK2kGo zGVZP$dna|^RsM|+!Z!9%_pQeAwAF$C<_2veCC`(L!`|Jr^K%o^J8sqIQg^w>{jIY! z#FMjb`{k|1!hN>w2X92F7u@Y2z4xz_2KCz+UGC6UkGKu3e9K=S6?4yn@`euI$DUmP z_-`=W;`a5rW%1{^zQ&wI`-$zjd^tWQ?J0d9_ZEBV&m4yC_ZtHiGw0B=H|@crWS>i1 zYSBlTx)kW6`_}4v*`cAS9{D%#A)gH5x-FjZnNNz=M-u+iLi^Y@pVLnK)1>2|V+Bw~ zjJxSC+yQ6cm!&%Py+V7KZ@O=;bK~8_EpZvX&lGExuG2?v2Ke?Z8E9K^Hl&tzSHXoI6Nv=`%1)7)ZbXQ1kS13Q$-jG+}6-MkTXZ~jU zfyg+gcyFv%oKA3u9n?SYuLdvju^uJ9$?hfMYwp7SkGv_n=2NQ|q_|V-z5AaO$YTmi z7J5(B?c?t#8##B1bf^ES8JEwB_VC6lbUnOHYLALD82U5scev;)SdVbKuAmR~)qj01 zyJ_URs`wq~5BZE3Pd4b@S8}Fyo4#hGkm(Eg1*}!)p8CnT)EFML_+!2~7UlPty9Ju3 z*P=9348>8MY;;GNOqRY5pZj=IeTP{s{Qmv!9`@da-9z!QqSG$wvy`%Z#O}L$`RT^} zqH6Cu>L*$U(P4QnJ_x7Dy$oqnP8giVz zEty8Yo3GK!lTG`1re|QSnNe!diy-g{2DB+--$`EIZJ9WGtJyPo?_CPAtIglY1$FC2 zw)(JZ)2hXu89j<}t_;-jcEYXm3hvA7-wam~&-};3Nlt4TCGRvK?#4{beh?*GZ*D^a zwEMVb;Us$yg!{1f4OqF{XqLOaa;1T=NWGLm7?-FGVa6S?^ENNy5oq? zZtx>nYh)wpT_XoNr18+;L-wtGiZ*KlCAc5voYrjlKnh>yYi#_+d6((|^b+e~ z@muu4UP$`ZV>UaQNH{zq)^W2&NyQ@6@x*M7|JL4j$2FC0|Bihuz>E#)j1HnA1PGyq zFp7!}0xC+8l7SEcQUZh?ASz>}sR&4o4gw-2(t83TL|P)!TLJ_UsUZXsLP+xBc<+oe z@4kEA`+R=yzk5C(&gW#Eb-sJ8wbx#I?M<={srTxQWnGcTlcoVXV1vBXzU86CHw-*^ zTPqmd8+e0rE~?Reelu~pWP)|6+sQZ|dHsQM`QsZEGw&g!vn75$uCpLVpv zXo1ohtFF+e7uRF_K}ir{{y_O8sn$4UP0b<6Y=r#_WJO?zq=`abWI#7up=`Won<;$%c-80Md z9vtk{7fu;16G;Yvt=o`$1~dcQ_I5cV=IcTfCOd&8%nwxK9z#*;jwOYl6t>lqO~`;> z^$ks&j@;h|&P2uQ4Ti|)he@}nNA5OWZLF*Tp!;8BT}rgeGEEi%a$NXVu4~jNKGsWs zj~xM&SUFd>0(6n@QiOXH!of4?z<_VOyUkNKHEL^(CFv&Ym2z9I8x<54SJfsX={&fv zs91o@=~@u~twxA!$atHy>3MUWfkPCIn>EKvSr^oZS-IB<7~BTF7qu8w#Hap91G&9r zpDhPut~#zp#y5~b+X2hj>@J`LQ^wt&VjX4sSP4CrZr%as=>0afjakHrptmR@@mid+ z;ERS>H3>uScOf4sS1EHPJ-kDrA|tTWIyEuGYvCOhbY7JZbFS@8LP$>EVo4zlco&jB zNajy1A4_c;@CQ;?l7jpe<8!(W;*qIU-c``NcrdAcN91Iej_G`=F3rOTT^h%YTl~H% zd+|d|FkHdvAm~HzBCV5U5owm0qtNio@M!v)dyV8F?u-LowR;Z6;m`sFsR3o4836)Y7RH z?vtkWdMy*a@2A0Pbsyi^KHN&nDFpvfPl11GM{!^J4RVoeT;YrIp}7<2d8LC|uD)_} zfQ@2!T%0ruCGlK%JLxjGj5`i*{DXTxG&K36Cm(z$MD@#!e`t z5+?^a9`bEi`p1L|^f#+BtJlcxl|V*(=VQvA$KgntVg>6Gg@0tV_D&cv=U$3KuwjBi zS)oG}2@DMtw#y>0aPmcyRqxu0`x8Yns{ZbRT+)zPjfYbT6ZHX!~mkqx>am4c31YTKdG`;(>^$r#^rz{G5yoB?zIun+E$vC_i9})TN~jJ$rxqo z$>`jB)3=;5#6D$6uC4lD+z+Lnpc@5*_8L@PTqQa7Bk$x>#$rT2X1!1*)>(Ldad8(M&ZetbwSgU-NWzBOR!#tECo}Y{o3u zSh{ju@+8`7l~gk;umvM^%m}r&1 z7uwLq?YGxw%o|FSMoYQ;ze%z`^Zz!f{13T$`LP9E`mfuhgIgc5+djrB#c_P3DMhWrjUs2TK>id6FRcZnFvWT}T@t7r+hi_H;J5slcDtF!9hXL;`x)=!qNVZq?@1JR*Q zQ%7#RdyZO`kBnc3-}*lA8z?U5dtgUStHSAn)GP%3QOP`8_XU?%1fzbxB|MmPC(GVA zdLf!|j;pRpefddeW(0YWu(NRFM?`G}A3L7XFuj4-iH&?6GjuD9QxMpsOW0(*B~dj> z?Y7`vHRi;eV~Fo<8MlS@Va&K}Y8FvEOM|l4zDGt_TD*i9RxX-G8R^?5uO4-3NZ3PA z3C=Vc9}1U2M#1bbj4ODHR!I!uls8crU3M8!tY$h|;xx*xBQme?yI0>=z~s=m6_K1H zyv-VK#+VxP??bi9jBGk;0dw-fgx@OJ&JIHBL{<#-mVNZ|rXAdmw}ilC8@?~^R%#<_ z_=2PXWNzb<=ck1!ppIz++uXB^j4yYteIEcQ!?ZckL&@j%M%{bE@+im6a!@H%IAtTV0^pjbrT5L@Ra0bW-N>g^ESTUy83u@ zn_lADa6$z39XX6XyjAj93Zkt^uQNMq_jt!f(9?~)(pFmTx)i>+;@tzgJ(H$BB3n-b z3Ex+sM7Nul=Yx&gQ{67re_%W7N?b$GXYI@1DLBmAdUX2((u~GdJ45#Z=0|T(wWu*B zhQEy*qlNgr z>4pUPIm~?2jo8-D?2z3tuW(zWdr)Zf@E%pZH67K-x=jKvRr$XaW`>TcWsz3%De3!7 zJzh<>wnTb{*2L5;1(B@TO9eso`^Zl*0ABCy?3HS@BAY}2)Eu36xC1C9m_1Bo*UdYf zCCZQM-HjYgKQLAotHo6!#yTnwfX$p&sK8=A0Z!+)NA4A>4nbeV^`W=IVEBVmzz(LSn543em7agaNF3_g2_#WFj(sJSB8k%fq0V8!l{UQ?iVeDZI zV?E0X+0tuR$5gm&#c^RW(!OuxG{7wf+V9AKi7IgeAwu z5?|@dZb?oNWsZ=JbKKj=^+1u&XvZ4e+jR^#t$I*8Q)C;o&L*zcWtk*nk+x)p`3Ckt zf>#4~9n;zoXzNS6|Mpm;>=RSTwxPwNjaR&f+7JII^@xkXIi3z`Oh7!;6Lu@t)o~iw z8wUMVGpJerE~d&tXh#`!Q`NlavG(Xbn*fYe26Zp}c96O3N7#$z5W0GQ#1(M;j|pfB z6&6=wK6^41*=CKfE@W|^&TTi6_<(F@L&82dr)bEvgkM$@u@n3@RrUfXKYPx_=fp}i&)A`?B5BdE_-iZ z1i`_?H1tQ2q<-u0*y4{y(=)I*=!|iTtaQVKIUVM`@S{<|jtE`j2WlB9<;=dtsg!~- zPsk^jS9%%iN_@=S!0#wqAysy$;H^&d;bj2_FXZ8)8pQ?BB5?YbO&o5tbM5BlzcrdE z03XO2&=w7Fe-#0EOwdc9;`~mC`FXrne{KFSG4(=_|3e=IRpV74X%AxQmoDb5=9)9* zt)USKkMaoc%wo23Vc<%L4G0sETR=Zc8Z|v-sw=ZZ&_k~@$^;AZO%@JUxA`3-d(=l1 zKkAG;g%y3@`4GTuaDp23x-iqP|XM`vDq*oWaL9V$Q8j`tywS;qS14W!^2d*_77 z!w0*nZs$)WF3tvBb7Gy%+)ovf_C_Y`Y(2uhj}IAnqK63VmW_)ikM+i^<~{U(o48{- z2f95Pw=uyxmwt`8j-(NP7waZx&q}bD|KkWvF{?iky2O`sw`;d6dFYw*&tlM z(sFy?r`bUwXRH8~(5+j^>bm}}Ug4(%!AM&{X!1jsmU|oZ7)MVrFU6D#dX;YeNI$?n`(iIkZ`4!r9$!1W`3 zGF4NITJ2V&=$u#y58H6XJwgwi6VPLTOiEK|jiZG(tp(OB__Wg218d|KBawbdcznj) z0qwUn0ySuL<`m8JhiJaT+)hT!$uz-Prg~Isi$nwny$f3bEkC$6a5`%F#!bEEl=hAs ztFjR7g!885*I?(O50Im~UkD7B9)stZvlVC**KMsgDTuB(0vT(eIhNV(uDuPJI3atD zz=qhbHa@)9yx8;2=AAP{B;%{FN6Czc<8>r!MP%{0{}~hHQ$(~wI!djUYY5Q zry}b@WP!ozGgq$^h(K>h_NK`MHhlHD)>I~A`I`-`etmEb_^pAAMVH&dq3$({HKh`$ z$LLL5ZBI+E`%4AMaVx{ABkA|gDOF@1LY5B13c8n!vjR!@#;W}bMZ%AHq-9zL7cm%D z@r$MqvG%KBj4JhEsS4FTg79Jc)Y+kyg67l}CD>fvk@1|E^$#9v4^>I&nj!0b$Wl18&k5Xm zFA#3=YSMx;`%@i|k{22a8nVG(*|;xk@zBP{vpkIY9fu!O_{4)m$xMSv&W8<9y3{nV zt+6&w7FVWQ8FZ7Aaxz2a+3to3R)+uUx_-`z677s}j9|%a#c;d>Z#G_9b(J0Op=D#h zE>*o+aSqzQgC4N^`Y;fw5;MMkA0!6bl^CHS@z4j}W!=a6sKsqb*1S$9Sj=4PY z@m^#pYPK_UDXKNCZK%;jUy66Jy&5-Va%*vtI560{7{J-?JYNv2zPgRW1d{9teJPgu zJ+-aZ9qg5)!h-MnQ0s`pa(zZ4lXD^1SOA(|iiM>E=2Kr|YzH$@$HIcDMO%ew-$z|A zioD%`bzRu^@`yaIlo*+=t@K9e2Xko$hx@tn`HJuGA&t(4AKaHg{LZbyeM?N1zLaL` z8CuqQRY@P}z7P3Yr#b_gowenFbk!hf+gqbUO0&NW#gx1^oD$pzTJNr1wbcULBQ>Nq>>ny2 zlZ9cL>kqk#)wKh1ZyppSsF+L1zAXxGcY9;uE%@2~0&gFlzwkxjHqO0bJ*pg(ApK{$^zw7&6uoH8O_3XF`AL1lmt>heYW zBeH6tiZdo|VI#=G0gI9t?6A!+NGj-S;6Qex@T|VPJ*Zw_TzKnFeRO$-?>3RSH|nL9 zJ|}D@xTS&Q-pFzq&dHo{z`(vuVfVCnTs&8`jUXBL&O9vYK~Uw3vB>Rq(f)4Hx3iM}N)`<$hsVwY_M&9HZ#Hab_8IP>OdJ}y|}Vd7?AtK5eNBb`@E z@#D0b86jMW+S3%qi(u53yJ!3B82W(QukcDontW)N&&}$~;sFEJWd#9Q$isb4Uu!Hm zoyba42!aeQ%M|U1XB0A=ld?Bb3SSzz;-y1`F-BC?mUNYkoRH`&R{N?m59 z9a+V`K#bn9vC(bH@k;zB7C@;d~DwnyfBj|*w?E00&l}y*Uo`&im%*k@x zbG?arJ#-JgA<{Va_3)(sn(Ym*16$^k${z$=(RPHX;fN0V5_I7w1Mr!@RYI>}FCcNc zXyiV@rVR!BET+)O*MU+e+K3H}u)Z2*w_0^#5mJkAz7}eFOV!>$&O>+gI!gSWBgtea zT(57&!JDeW1#`n9w-Zocx=`LL@)ySwM{}BgEz`KccMdR4huXn3s?qHN>JQYn3}{VM zJq|;_nNS9}+*NcTkh__?J*s0}&ZGWE!8W0}5c|;*cUO;5GleH!hgx~g;liTKM{&p5 z#=N*kbLfv(MjiJq?i4L|LvmyoJJwvJ=PhTO;Btwma|R94A9>iqg0PFM;o__Y{}(JJN5HRo~{*_h1J6 zD)nXJ-LI`jqga=gY!xy#9f?Y-uo}nSmGqsLyt(3Z9M@;aJ3dJAvSpOk z$T1U=xR~+cJF8OvN?}H@pTiB3$MXF4i0KuzDd|{m!EN9yV7<2PN2vtsu2Uh|*|@17 z=qPMXdLp5Obh^E}-NtIX!`>WZQ5pU=H3Aosw`?CYuPCC`Uraut7q2NF_T45O&f-x6 z;C12?_#H|7pcz4gdhl(Z(%MqU!S}2en}7O&Yn-rpo;knGYaWuBBJ{dtE4spT z^KoM$th^@&8AI6-Rc_Q3#TG@zN~z+tbi#ESO^~GdI`QdCS0gzKsJ z@+1UPEz+h8JuVv9%zxVWK{B?F^pSHs?R2qw!ca&5iJ$82Eo3x^dyV(w>CR)7dU zyixrM%f1b9eA3cgm3|OjG@YFF5qVo&N&c6y&QE)@*4H-9V?J1BQ0)q4XoYmi1CYqx zX=QmII_DZTz|iS*M@UiO-Hc4hjSWbQCmlO7?)t`F{d>wl6?Aey{&i= zbR@2Xc-{tA3YqkO#M3LQBUUrZdv*e{R^RDK)7sWLrUIe7!bd~O9j9>9y>U^Bad{os z>8)`0EXkdcVJ6pBRu!M|;u~&7lseOOjH5;^W#UI3)Ty%V(NhO|(o8_A%N{mZSLy@b z5=It%poMfZgeBL(-zEE-fwbL1`Fe8au#Zi2U29hQK!B?V&FVhi$jlVJEwF z%XgyQ!R+zbQL$e1=3UhXmman>t$hgTlpD4;6P>>YdJ;U0mn%CSl;ww=DHfT7ht-S< zcgT>nZe6ao*q&Y29X}GiZrJJVH|*6$>%{-oJ)!{PDkh+;bIlV%xMQilaozrr7qWql zOaSb3$Mo!ilMZ5>vz-yaBS&8933@xGa!V-|DV<;l57*Q~M6gpchfP?svARJjqgyHA zuO)+aMmC`|XrdpQE)$j<598O{ehq0$TrJXyH;g$Kj5(?#pjF($M?Q=UX4j8*PF2oK z+RX{vfUlN}5e7Y4W)IlA42L8eqmE`8SeNdAudj`vQEKF#oxTZ1RG30k*gZY|*)F|u z(|rjIZe;@1K}-MhSX9+}x?e)k$?bzy71DlYfeH=IA5%uUNA=`1*gie1gTzBC5Qp@d zhI0=wcg;*L#qV7p2hrsz*q@0<19N3s{L1fJ5nF+@d}mtq zmS5hRg3guejXKP;80?o>X~aG(e~J*_!AhuNn}-P{c_6Gem0UvX+4T%_T37)V9YABp zC0DL2L1EY(&aUJ-Kna;F7l%VK(1X5wZq0a#`DPzl7vV$dE>vGeC5T>|wi|!U*^^=Xz{7ZU8Edw42b6^EWeKZ!tnPzT3~wdj zZ~;*so;s;N7g|^xIYGan47EJaRdl}1h}&DAeLyY6Wujy#X69lH@sb~E5JRtF-_*RhRb zj^|~E9)@ ztoU~B8#VpqsrcsgqsBTRtq$VUk~&^&BgcyUW&Q>z<{Ud4!sq zY^-R10_bMATU1|&bOI;0K4mME+ncW^n;mIQnwdIA=q)>DwQx*$!;gY-JV;mZX{((G zKVPZ!!c6h?_Zk3yCts3&${;PXqAw

=!!fdo zB5jlaOb=i9q{fsHx>~iZIg_j*^t=&35y7vb;fFao-d;%u=<^U z?vECIkNeh~I>z=mGV`WhWcJROLvS$RLWN39W~a%hF-Q##jGLmJbWZGpX{DQGRFZ#O zl>12A7*dUhq@XDFoo@AH_v1WBPfA1VQpMO4P>V~1dlYk}H6`p(H`nRc)qvP+-%)$7 zr7XFIroB{d!k&2cg4w*k7ML+pwu|*l^Y2rL-lEN9Bq*vaNd3FI8nL>7N4E*@>Ez$wEw62YbI-MP*~@Z@5N70nw_2z`U-_2 z>?VryjW+uXK|A5>+>PLuSq)>LZ9-Qf?Dn#e&&*4RDfD|=ZOe0}A<<3fg;|NaVU`Ai z<{swjcWW$1C>?dK@vP^~Y1pA=_=-H)8&!pDO<(k--&2Wa0c9n~tdq92`m<)#Zk(@G zt0J37yIp!Q*ecbCKOmFYy|_IZr7%Qva!#>;4A1ji4ZEeEG_6jVw7=CqDpJo&LJcF@ zqFL?L@G&`8ae>Q}%$4H2ktC2i-*?F>pkg}I5g&5HV1oO4-;>Aq^`Z zC?b2vE#O&SL~(}PFX_dNf^P;D*SLdxMZsC>L!p9Zq&zC(p;_voXeW78d(g6c`{D+q zV`9GU!cuAdl8{Sj3umUvr^XjUj}D}Erh=W1TV1&3PtZJOYDYOJS6}f z7ZaB=2kw>8{Pg(AnNd;q;s=6TZ@b7<~~WH+;9msoH+&x{g^CC9{$;`HSNZ;fxX++^5fY^d{+2x-4{tV%B*Qwm4D+ zGFC9kkZ9fJ)wZj=5NMuw!FW)wa(n^hJv4;sWH{U+CvL?-V<)^@4bv{RWvXzCTW$`Rw-%0!vQI}|;b-koEb!gkZ#^*| zows;Kv7#o9WmpAloowSAY)xN1U7=O5DK;*D_?%L|>m{mvi%ELGTu7EVVivLuwd8XG zk?OO^%G{g+4B~vB3X-eZ8Q(!uM5y3PhJV$F)-?!$22R^>uSan-)vCC(SYp+$*q}!H zq#tw#rSo@C56zm5Xp3vG@qs)51mAm{t z^wh_xQ1#-jfL_%(MjUHGP;YkGq~!t(T2v+j=y|-V%kH`=@Juwu-5J_AX%Ecyakb)0 z9e~(3d}lJz6^pR;oqNbcpeulc#3`O)&=ZaFCZ+aAWPu!qzuZ%IMJsP`KrR;49C?HX zA%>l--#XSbUv=DcbahU(5Uq$VY`|&STs61TQ+zS7J8<^2)VhtfB>YuRA<^nNa?*Qy zRH>9#_HxJ$a3ox9Rl0u%Nj?2hMRc)(U-FydO#D^|`*CC~gZ+(MamOV&{SXv>KUs!k zzXOu;!(Gd9b%FGwuw%4Ct`Q|sZSGI1PMF4)+H$duyUa@R=ha>%GO#ca$YD`CiuAaILo+~ky7TPL{R@!yzG&%pr=8(KVC&k*kr)kz2BgiW(?EIZ>*4K?cq{HXy>_ zcE7QM!gGd6U}!}0d+*eS0~yk*D|MH-VWmvYl0iSamufs5qG<0MW@(L|BMlYXq!xr=B|?n!Uv zd$?O;p_#Te1$Jmb!>9UO@8s2{>+=B`3n$7e>e<(S5|qhijTt9SSKCunDPRL~MD?+- zg)WY^vLcPlFP*D=gK2f@ItXTG8G;h}-09crLq$1?FAKW1b6w*M!!EjMC9_EL3}a7U zbg2W?iqD%vuPoVi)2z^92TuYYgNq=hFNJU7Gf$M16X z$(X6%0_CB;4>ZQwkKd<%SDP{En>Vf|x?m!h%TjlfpY)cZq%<~c7g{gbdLNSZ|8LHNGLs4m_;b_r=`2Jucu zh)oQNB=dB-tV=tv-?;L5YRY=yS?Bf?$>jrHlS3+RKu$M+6^m7yy4sf_gR|09+Mp#b zLvz4mF{?K#q9L^*I|i)FGiu;v5a*{jLx*(lb(?y$>@-K?6SUpOv8ba6GF5RLCg>x| zn0h64*-c$Mk$JTPcK}`3Q6%tC!gsn1)=)&H{g3r!=&>!FiNb?!#_xQt z@Nq-PupMNYK(xt(2;sXWln{i^GpGc%FSENMnQVWuAq9C53j+6dU#r29(TgvT*qAg^ z#Xaj8&aJggls)?Bjk%G{xcou{{FT+#J`dLQ9ZuG*;qVAPbKBbOZGITfa>B0AV^!;2 z7tbwdL~(v8CykI>XfO5{$APEW?x!inWAeSyQjO_WA1)7=w)ymoq_WOQ^Wb0|*$YH^Vlf@&m7S5AgQ#{8eB6{ZEw~fPH~B$(sJk{pAskK*7{L z4RLU7mxuIZHNimjF%+g_KYwu(+u!+dCa+L58~M5_lM+%IO}Re|Re5uqruX6(xxhN@ zdMh2%eF)F`{#G+k_&xSEk5x;%=)8@pXhnt4b@6vR6XnP1?pe!Fw4vWX6Ge*n7@Vs} zYsFwYR(HKylIvhB7>ALFe`I{tu}+!f3}Ef_KeK}7P>wy z^VmG4~nuGE8)fQQ?&2oXL<>j2ggHnPwA-?6pg!!D%M^s=<2g;>OfiL&G^yTnMj!J zUHKq1&q1$;?d)w}2}4NWpUjjx@EMRWO+*{f=jSZTBWSjIYed(^)4n}8pVazDnE^0a zF2R$YPCm6eyJ$Lrto7PNFg#b;(N~M(mIXMVDLv-<3F(hU*z23n3VyvIIZTFjr@hhO zs|pa}@j+;}PPLbp_PPMJ!3~@(l7ipA1Cv=7?A5iuof0nGt8AnZO*U9UQxck(SMKtx zwM08PiF-&|vDPyxM(34C*L%9AVLn|BBLmcSV)@v(YF@iDRGggYHz>mj2iRvW*^?g{``6$k5ao*QtkHrGeJ=6tN|oHmtNHuE1nB-OgfXK-Y8pvg3X z0}qu}Y_E0?f@dUjy7XJOU`ZQAujMBw{lWu@^CMfwy3w+NV|B}OeBV4!==w&xknT@P zHAv7KR+>5ys*=C_d~gf)TL22nrwigkEYf<(iT+>wvjRqSsckXSCP5J6h&z$>(i8n{PjHCRQST|w)m&3l141)E#jX-pycQ0ezKY|xk56YA5UwZuERUd zh7-)BW{g(G|3of16sbHC2&H=69bQkTI;rOdH^m7?(|$t1F(v7r7r#rVvGqAa(Xhm?~J_;2?v>2S}fSa8G>ee%dolBj;kq7PocW9LH2#{UU<-r*M>a?lg#){-~9hL#!a;LL(L9k(gJ6v77um4PLxZ90&4Ww zEl>Ea;9^FnhkRRBBFm-CsfNx_2|O9=Js6nPBU~@UQ0=$_`#ae>FaRD1 z^8i>P1AJV(eRLKl$_56y%K9LX z6X4XBDi!)5gD=1?KAzrT$G)_F9AN6=cOLGE@Ca1a1Y8aCga6L+xw1uor(Ym40B|AD z#oH5h$`|1c7js;6@kIc_J=9GtArJ|;uN%_!h6GUePgR3l13zP!UO4kv+s}B~e*;to zezwdNz-bp0{4>wrtYPZ_bO32;IyrsDunt27!hJ6Ix+B40fOU|cpEum+bHNQwfK`AS zJiyZzaqNPdnBW;0b{ud8j_^bU2810uC6)?)90CEHu~pX6)ci`VuVmKyL*{=}%h1*W z{fk-|Ul+%?dP&Q-S#!_m>aKVR?eqRUT}w|i6w?k?^f*2Cu>HwmXE}I{`*^GBsU1(o zAKx>&k~PdtcHGKqp#&as@pF?w5Z;`sp8WK!v6{cJ8K_=tp@{3Z(GUkM68(<%5*3 z=9qX7QzqrhPxb2@?)^D`fbRTgUc}1`Qs~6Fvqp=r9{I?*i*UxzOf;YDY;!fJKh$GJ()&IjbUtCuUsQ-H>{_eb|0z6&3)lVb6-7K#DPW>0Y zYx~8a^u)G5=k0<(DgT>dDcbtV>OehRWpO1EO>uW=Y5w7`2Abj?5qrqFFAn>A!(aQW zo|Znq?jODj68GMJ^xm(!-{5~!5u~B7^RKl0;{X2-x*F*IIWGTN7zU&(9-5zZ)zSj# z{qCCo-*i>i0{yA2j^-cQT3xbG)&f{vQg(OoM!^9{zkgi(Zo9Lgfo9f$E`e}>^{Ic* ztu2%_H8i#V#M1f$$}-3YC5|=PV!{=tKN&uM7Dt880~T=RPx7B7c}$T(;vuZ{rMoV< zAmAu~xu+Y-;j`C$4fJO{8|!~W6+4TIfPaiuAPA)Y1;GLy=;G!Q=O zfy%Dpkl<^4m{~_StnBG#eApIbp=se~3it3lA07a=4!67t3-^K;i`xhWhyV zh|!coeY|~9hM~rXT|P$=L-F-zGT^ZC?<|2{#)p9#TIzb5T8AOKl$Dji0dDSwSI(UK z$8+LO#)mxu1N{sEfRK<7jS!#)G5`V4GB7XzXletrwbjKO>ZmZ^K$lQ;U(}H=ApgYq zXXN!n`YM0Mb#X-o1sWed{JEh&jxPfGebtaJO5=B7HDE{|fcOSLO9Ke_16lkaSWGm$ z6o7OKf{9;veF_$Ubae?-J|m8pp5ET@0A)Q5P32>@p5llef;tZV4Dl!TKiUQZJ`41f zfWK@18T)Va|0H;*i{Bp>`itP=8)CsV|0=kcXlNP$cM(rUSCL3>$R+FFrzVhwo42>J z4Lkt#*;F76Z7|^PoPXL3{P~sz(#_L7?2OpIA>v@8r>>=~uBCZZOUqD8$52aCO;g`c zQ}YYRSDb&t_+1eA{|&}hod47IbA$b1KEI#<@88|a4F-UJnH^DL6Keg{gl;fH_s^L{ zAjCyHhkC+X0>w7_+{a+RpSQl!R7@1lsowB^cJLR+`@Ne_c?bTL`p^FN_WbPshTh@? z!T4~fx*OcxCCEGQFvP-B>}x2bd!Vu{GQdq)UHO!?#RcWhkzQR>6Q~K)a6`hrc$T;4 zUy;91|Jva10{>k$uvlK$7Z3Rx!e_^{hWr1O`lrN@quzl=XLQe+nw~Q~b4K^{*`tU+ zqkkvNU$K4{=5G!EPYx0bi$D7LUk(!=pTBmq*vTy{49_58L7%gv3uhofL7r}g+U~kq zKo=c%bvR5{UtI_04pi5Nxx&=-wOw^}G~HpEy6&Lg-Ttr7e&r5da4-0x0$qGz@PFdE zySRchUG?s#lW%d3 z`#DiRcE<2?y04`zPC2#28)Kg{eN|0O)#HFuL4h8~fMejVGeC%Vhv7>qdF=nR&^QkG z=Q`@xzg|Z<{&OMac>Fj(yq5U)Qe6F8P}T*3 z#Jg*sZ-@^qEqxuJc$@3n>!{N%hV)1r~bHsqaFVbmgiKSCfIcs%JV%PryILz~D literal 0 HcmV?d00001 diff --git a/packages/ABM8.fp b/packages/ABM8.fp new file mode 100644 index 0000000..f58c193 --- /dev/null +++ b/packages/ABM8.fp @@ -0,0 +1,12 @@ +# author: Bdale Garbee +# email: bdale@gag.com +# dist-license: GPL 2 +# use-license: unlimited +Element[0x0 "ABM8" "" "" 0 0 0 0 0 100 0x0] +( + Pad[ 3642 -4034 3642 -5019 4134 -983 4734 "pin2" "2" 0x0100] + Pad[ 3642 4035 3642 5020 4134 -983 4734 "pin1" "1" 0x0100] + Pad[ -3641 4035 -3641 5020 4134 -983 4734 "pin4" "4" 0x0100] + Pad[ -3641 -4034 -3641 -5019 4134 -983 4734 "pin3" "3" 0x0100] + ElementArc[ 630 6063 500 500 0 360 1000 ] +) diff --git a/packages/ABM8.py b/packages/ABM8.py new file mode 100755 index 0000000..f279ae8 --- /dev/null +++ b/packages/ABM8.py @@ -0,0 +1,71 @@ +#!/usr/bin/python +# Copyright 2007 by Bdale Garbee . GPLv2 +# +# Program to emit PCB footprint for ABM8 package used by Xtals +# + +# dimensions in mm from abm8.pdf Abracon datasheet +PinHeight = 1.30 +PinWidth = 1.05 +HSpacing = 1.00 +WSpacing = 0.8 + +import sys + +# we're going to use the 1/100 of a mil fundamental unit form +def mm2mils100( mm ): + return int( mm / 25.4 * 1000.0 * 100.0 + 0.5 ) + +print '# author: Bdale Garbee' +print '# email: bdale@gag.com' +print '# dist-license: GPL 2' +print '# use-license: unlimited' + +print 'Element[0x0 "ABM8" "" "" 0 0 0 0 0 100 0x0]' +print "(" +print ' Pad[',\ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(-(HSpacing/2 + PinWidth/2)), \ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(-(HSpacing/2 + PinHeight - PinWidth/2)), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin2" "2" 0x0100]' + +print ' Pad[',\ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(HSpacing/2 + PinWidth/2), \ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(HSpacing/2 + PinHeight - PinWidth/2), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin1" "1" 0x0100]' + +print ' Pad[',\ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(HSpacing/2 + PinWidth/2), \ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(HSpacing/2 + PinHeight - PinWidth/2), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin4" "4" 0x0100]' + +print ' Pad[',\ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(-(HSpacing/2 + PinWidth/2)), \ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(-(HSpacing/2 + PinHeight - PinWidth/2)), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin3" "3" 0x0100]' + +print ' ElementArc[',\ + mm2mils100(WSpacing*0.2), \ + mm2mils100(HSpacing/2+PinHeight*0.8), \ + '500 500 0 360 1000 ]' + +print ")" diff --git a/packages/ap.10g.5c b/packages/ap.10g.5c index cc3731b..b3fa11a 100644 --- a/packages/ap.10g.5c +++ b/packages/ap.10g.5c @@ -55,7 +55,9 @@ real line_clear = feed_clear; /* Draw a line at the edge of the board for alignment */ -line(-hole_x, -edge_y, hole_x, -edge_y); +real mark_y = -edge_y + mils1002mm(line_thickness) / 2; + +line(-hole_x, mark_y, hole_x, mark_y); /* alignment holes */ pin_mm_clear(-hole_x, -hole_y, hole_diameter, .01, 0, "GND", "GND"); diff --git a/packages/ap.10g.fp b/packages/ap.10g.fp index e688df0..c87dfcb 100644 --- a/packages/ap.10g.fp +++ b/packages/ap.10g.fp @@ -4,7 +4,7 @@ # use-license: unlimited Element ["" "ap.10g" "" "" 0 0 0 0 0 100 ""] ( - ElementLine[ -19094 -23031 19094 -23031 1000] + ElementLine[ -19094 -22531 19094 -22531 1000] Pin[ -19094 -15551 5984 0 5984 5906 "GND" "GND" ""] Pin[ 19094 -15551 5984 0 5984 5906 "GND" "GND" ""] Pad[ -19705 -6988 -19705 -3642 4921 0 4921 "GND" "GND" "square"] diff --git a/packages/footprint.5c b/packages/footprint.5c index fa03e60..7d6fdcb 100644 --- a/packages/footprint.5c +++ b/packages/footprint.5c @@ -22,6 +22,10 @@ namespace Footprint { public int mm2mils100(real mm) = floor (mm / 25.4 * 1000 * 100 + 0.5); + public real mils1002mm(real mils100) = mils100 * 25.4 / 100 / 1000; + + public int line_thickness = 1000; + public void element_start(string name) { printf ("# author: Keith Packard\n"); printf ("# email: keithp@keithp.com\n"); @@ -134,7 +138,7 @@ namespace Footprint { mm2mils100(y1), mm2mils100(x2), mm2mils100(y2)); - printf (" 1000]\n"); + printf (" %d]\n", line_thickness); } public void rect (real x, real y, real w, real h) diff --git a/partslist.dk b/partslist.dk index 290ced1..735958a 100644 --- a/partslist.dk +++ b/partslist.dk @@ -7,7 +7,9 @@ 2, 490-5408-1-ND, CAPACITOR 4.7uF 1, A99472CT-ND, CONNECTOR Debug 1, A100034-ND, CONNECTOR LiPo +1, 101-00303-68-1-ND, CONNECTOR microSD 1, H11634CT-ND, CONNECTOR USBmicroB +1, 887-1327-1-ND, CRYSTAL 26mhz 1, 535-9721-1-ND, CRYSTAL 8mhz 1, MCP130T-300I/TTCT-ND, IC MCP130T-300 1, TC2185-3.3VCCT-ND, IC TC2185-3.3 diff --git a/symbols/rfpa0133.sym b/symbols/rfpa0133.sym index 934d31e..0aa5a71 100644 --- a/symbols/rfpa0133.sym +++ b/symbols/rfpa0133.sym @@ -27,7 +27,7 @@ L 2300 2500 2500 2500 3 0 0 0 -1 -1 P 4500 3000 4000 3000 1 0 0 { T 4500 3000 5 10 0 0 0 0 1 -pintype=out +pintype=pas T 3945 2995 5 10 1 1 0 6 1 pinlabel=RF OUT/VCC2 T 4095 3045 5 10 1 1 0 0 1 @@ -38,7 +38,7 @@ pinseq=9 P 4500 2500 4000 2500 1 0 0 { T 4500 2500 5 10 0 0 0 0 1 -pintype=out +pintype=pas T 3945 2495 5 10 1 1 0 6 1 pinlabel=RF_OUT/VCC2 T 4095 2545 5 10 1 1 0 0 1 diff --git a/telegps.pcb b/telegps.pcb index aa73314..61ad12b 100644 --- a/telegps.pcb +++ b/telegps.pcb @@ -3,14 +3,14 @@ # To read pcb files, the pcb version (or the git source date) must be >= the file version FileVersion[20091103] -PCB["TeleLco" 2500.00mil 2000.00mil] +PCB["TeleGPS" 2500.00mil 2000.00mil] -Grid[100.000000 0.0000 0.0000 0] -Cursor[67.00mil 306.00mil 0.000000] +Grid[500.000000 0.0000 0.0000 0] +Cursor[0.0000 0.0000 0.000000] PolyArea[200000000.000000] Thermal[0.500000] DRC[5.00mil 10.00mil 5.00mil 5.00mil 15.00mil 6.50mil] -Flags("showdrc,nameonpcb,clearnew,snappin") +Flags("showdrc,nameonpcb,clearnew,snappin,orthomove") Groups("1,c:2:3:4,s:5") Styles["Signal,10.00mil,30.00mil,15.00mil,10.00mil:Power,25.00mil,60.00mil,35.00mil,10.00mil:Fat,40.00mil,240.00mil,236.00mil,10.00mil:Skinny,6.00mil,24.02mil,11.81mil,6.00mil"] @@ -811,7 +811,7 @@ Symbol['~' 12.00mil] SymbolLine[20.00mil 35.00mil 25.00mil 30.00mil 8.00mil] ) Attribute("PCB::grid::unit" "mil") -Attribute("PCB::grid::size" "1.00mil") +Attribute("PCB::grid::size" "5.00mil") Via[14.55mil 600.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] Via[115.00mil 600.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] Via[114.55mil 400.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] @@ -819,7 +819,7 @@ Via[14.55mil 400.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] Element["" "B2B-PH" "B1" "LiPo" 833.76mil 988.77mil -4.07mil -295.49mil 0 100 ""] ( - Pin[2.8001mm -76.77mil 51.18mil 12.01mil 57.48mil 29.53mil "-" "2" "thermal(3X)"] + Pin[2.8001mm -76.77mil 51.18mil 12.01mil 57.48mil 29.53mil "-" "2" "thermal(2X,3X)"] Pin[2.8001mm -155.51mil 51.18mil 12.01mil 57.48mil 29.53mil "+" "1" "square"] ElementLine [0.0000 0.0000 177.17mil 0.0000 6.00mil] ElementLine [177.17mil -232.28mil 177.17mil 0.0000 6.00mil] @@ -944,21 +944,21 @@ Element["" "SOT23" "U11" "MCP130T-300" 296.00mil 921.00mil -119.00mil -118.00mil ) -Element["" "0402" "C36" "0.1uF" 49.5742mm 1655.00mil -14.46mil -73.50mil 0 100 ""] +Element["" "0402" "C36" "0.1uF" 49.5742mm 1390.00mil -14.46mil -73.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "C601" "1uF" 19.6530mm 1628.00mil -1.5189mm -0.5593mm 3 100 ""] +Element["" "0402" "C601" "1uF" 19.6530mm 1415.00mil -1.5189mm -0.5593mm 3 100 ""] ( Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "C602" "0.1uF" 29.6230mm 1640.00mil -85.28mil -77.46mil 0 100 ""] +Element["" "0402" "C602" "0.1uF" 29.6230mm 1390.00mil -85.28mil -77.46mil 0 100 ""] ( Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -972,72 +972,72 @@ Element["" "0402" "L600" "bead" 36.8620mm 1454.00mil 29.76mil -148.72mil 3 100 " ) -Element["" "ufqfpn48" "U7" "unknown" 1845.00mil 232.00mil -149.00mil -232.00mil 0 100 ""] -( - Pad[127.95mil 108.27mil 137.80mil 108.27mil 11.81mil 23.62mil 23.62mil "VLCD" "1" "square,edge2"] - Pad[108.27mil -137.80mil 108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "PA3/USART2_RX/ADC_IN3/TIM2_CH4/TIM9_CH2" "13" "square"] - Pad[-137.80mil -108.27mil -127.95mil -108.27mil 11.81mil 23.62mil 23.62mil "PB12/SPI2_NSS/I2C2_SMBA/USART3_CKI/ADC_IN18/TIM10_CH1" "25" "square"] - Pad[-108.27mil 127.95mil -108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "PA14/JTCK/SWCLK" "37" "square,edge2"] - Pad[127.95mil 88.58mil 137.80mil 88.58mil 11.81mil 23.62mil 23.62mil "PC13/RTC_AF1/WKUP2" "2" "square,edge2"] - Pad[88.58mil -137.80mil 88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "PA4/SPI1_NSS/USART2_CK/ADC_IN4/DAC_OUT1" "14" "square"] - Pad[-137.80mil -88.58mil -127.95mil -88.58mil 11.81mil 23.62mil 23.62mil "PB13/SPI2_SCK/USART3_CTS/ADC_IN19/TIM9_CH1" "26" "square"] - Pad[-88.58mil 127.95mil -88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "PA15/JTDI/TIM2_CH1_ETR/SPI1_NSS" "38" "square,edge2"] - Pad[127.95mil 68.90mil 137.80mil 68.90mil 11.81mil 23.62mil 23.62mil "PC14/OSC32_IN" "3" "square,edge2"] - Pad[68.90mil -137.80mil 68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PA5/SPI1_SCK/ADC_IN5/DAC_OUT2/TIM2_CH1_ETR" "15" "square"] - Pad[-137.80mil -68.90mil -127.95mil -68.90mil 11.81mil 23.62mil 23.62mil "PB14/SPI2_MISO/USART3_RTS/ADC_IN20/TIM9_CH2" "27" "square"] - Pad[-68.90mil 127.95mil -68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PB3/JTDO/TIM2_CH2/TRACESWO/SPI1_SCK" "39" "square,edge2"] - Pad[127.95mil 49.21mil 137.80mil 49.21mil 11.81mil 23.62mil 23.62mil "PC15/OSC32_OUT" "4" "square,edge2"] - Pad[49.21mil -137.80mil 49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PA6/SPI1_MISO_ADC_IN6/TIM3_CH1/TIM10_CH1" "16" "square"] - Pad[-137.80mil -49.21mil -127.95mil -49.21mil 11.81mil 23.62mil 23.62mil "PB15/SPI2_MOSI/ADC_IN21/TIM11_CH1/RTC_50_60HZ" "28" "square"] - Pad[-49.21mil 127.95mil -49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "PB4/JNTRSTSPI1_MISO/TIM3_CH1" "40" "square,edge2"] - Pad[127.95mil 29.53mil 137.80mil 29.53mil 11.81mil 23.62mil 23.62mil "PH0/OSC_IN" "5" "square,edge2"] - Pad[29.53mil -137.80mil 29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PA7/SPI1_MOSI/ADC_IN7/TIM3_CH2/TIM11_CH1" "17" "square"] - Pad[-137.80mil -29.53mil -127.95mil -29.53mil 11.81mil 23.62mil 23.62mil "PA8/USART1_CK/MCO" "29" "square"] - Pad[-29.53mil 127.95mil -29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "PB5/I2C1_SMBA/TIM3_CH2/SPI1_MOSI" "41" "square,edge2"] - Pad[127.95mil 9.84mil 137.80mil 9.84mil 11.81mil 23.62mil 23.62mil "PH1/OSC_OUT" "6" "square,edge2"] - Pad[9.84mil -137.80mil 9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PB0/ADC_IN8/TIM3_CH3/VREF_OUT" "18" "square"] - Pad[-137.80mil -9.84mil -127.95mil -9.84mil 11.81mil 23.62mil 23.62mil "PA9/USART1_TX" "30" "square"] - Pad[-9.84mil 127.95mil -9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "PB6/I2C1_SCL/TIM4_CH1/USART1_TX" "42" "square,edge2"] - Pad[127.95mil -9.84mil 137.80mil -9.84mil 11.81mil 23.62mil 23.62mil "NRST" "7" "square,edge2"] - Pad[-9.84mil -137.80mil -9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PB1/ADC_IN9/TIM3_CH4/VREF_OUT" "19" "square"] - Pad[-137.80mil 9.84mil -127.95mil 9.84mil 11.81mil 23.62mil 23.62mil "PA10/USART1_RX" "31" "square"] - Pad[9.84mil 127.95mil 9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "PB7/I2C1_SDA/TIM4_CH2/USART1_RX/PVD_IN" "43" "square,edge2"] - Pad[127.95mil -29.53mil 137.80mil -29.53mil 11.81mil 23.62mil 23.62mil "VSSA" "8" "square,edge2"] - Pad[-29.53mil -137.80mil -29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PB2/BOOT1" "20" "square"] - Pad[-137.80mil 29.53mil -127.95mil 29.53mil 11.81mil 23.62mil 23.62mil "PA11/USART1_CTS/USBDM/SPI1_MISO" "32" "square"] - Pad[29.53mil 127.95mil 29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "BOOT0" "44" "square,edge2"] - Pad[127.95mil -49.21mil 137.80mil -49.21mil 11.81mil 23.62mil 23.62mil "VDDA" "9" "square,edge2"] - Pad[-49.21mil -137.80mil -49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PB10/I2C2_SCL/USART3_TX/TIM2_CH3" "21" "square"] - Pad[-137.80mil 49.21mil -127.95mil 49.21mil 11.81mil 23.62mil 23.62mil "PA12/USART1_RTS/USBDP/SPI1_MOSI" "33" "square"] - Pad[49.21mil 127.95mil 49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "PB8/TIM4_CH3/I2C1_SCL/TIM10_CH1" "45" "square,edge2"] - Pad[127.95mil -68.90mil 137.80mil -68.90mil 11.81mil 23.62mil 23.62mil "PA0/WKUP1/USART2_CTS/ADC_IN0/TIM2_CH1_ETR" "10" "square,edge2"] - Pad[-68.90mil -137.80mil -68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PB11/I2C2_SDA/USART3_RX/TIM2_CH4" "22" "square"] - Pad[-137.80mil 68.90mil -127.95mil 68.90mil 11.81mil 23.62mil 23.62mil "PA13/JTMS/SWDIO" "34" "square"] - Pad[68.90mil 127.95mil 68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PB9/TIM4_CH4/I2C1_SDA/TIM11_CH1" "46" "square,edge2"] - Pad[127.95mil -88.58mil 137.80mil -88.58mil 11.81mil 23.62mil 23.62mil "PA1/USART2_RTS/ADC_IN1/TIM2_CH2" "11" "square,edge2"] - Pad[-88.58mil -137.80mil -88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "VSS1" "23" "square"] - Pad[-137.80mil 88.58mil -127.95mil 88.58mil 11.81mil 23.62mil 23.62mil "VSS2" "35" "square"] - Pad[88.58mil 127.95mil 88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "VSS3" "47" "square,edge2"] - Pad[127.95mil -108.27mil 137.80mil -108.27mil 11.81mil 23.62mil 23.62mil "PA2/USART2_TX/ADC_IN2/TIM2_CH3/TIM9_CH1" "12" "square,edge2"] - Pad[-108.27mil -137.80mil -108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "VDD1" "24" "square"] - Pad[-137.80mil 108.27mil -127.95mil 108.27mil 11.81mil 23.62mil 23.62mil "VDD2" "36" "square"] - Pad[108.27mil 127.95mil 108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "VDD3" "48" "square,edge2"] +Element["" "ufqfpn48" "U7" "unknown" 1845.00mil 232.00mil 232.00mil -149.00mil 3 100 ""] +( + Pad[-108.27mil 127.95mil -108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "VLCD" "1" "square,edge2"] + Pad[127.95mil 108.27mil 137.80mil 108.27mil 11.81mil 23.62mil 23.62mil "PA3/USART2_RX/ADC_IN3/TIM2_CH4/TIM9_CH2" "13" "square,edge2"] + Pad[108.27mil -137.80mil 108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "PB12/SPI2_NSS/I2C2_SMBA/USART3_CKI/ADC_IN18/TIM10_CH1" "25" "square"] + Pad[-137.80mil -108.27mil -127.95mil -108.27mil 11.81mil 23.62mil 23.62mil "PA14/JTCK/SWCLK" "37" "square"] + Pad[-88.58mil 127.95mil -88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "PC13/RTC_AF1/WKUP2" "2" "square,edge2"] + Pad[127.95mil 88.58mil 137.80mil 88.58mil 11.81mil 23.62mil 23.62mil "PA4/SPI1_NSS/USART2_CK/ADC_IN4/DAC_OUT1" "14" "square,edge2"] + Pad[88.58mil -137.80mil 88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "PB13/SPI2_SCK/USART3_CTS/ADC_IN19/TIM9_CH1" "26" "square"] + Pad[-137.80mil -88.58mil -127.95mil -88.58mil 11.81mil 23.62mil 23.62mil "PA15/JTDI/TIM2_CH1_ETR/SPI1_NSS" "38" "square"] + Pad[-68.90mil 127.95mil -68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PC14/OSC32_IN" "3" "square,edge2"] + Pad[127.95mil 68.90mil 137.80mil 68.90mil 11.81mil 23.62mil 23.62mil "PA5/SPI1_SCK/ADC_IN5/DAC_OUT2/TIM2_CH1_ETR" "15" "square,edge2"] + Pad[68.90mil -137.80mil 68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PB14/SPI2_MISO/USART3_RTS/ADC_IN20/TIM9_CH2" "27" "square"] + Pad[-137.80mil -68.90mil -127.95mil -68.90mil 11.81mil 23.62mil 23.62mil "PB3/JTDO/TIM2_CH2/TRACESWO/SPI1_SCK" "39" "square"] + Pad[-49.21mil 127.95mil -49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "PC15/OSC32_OUT" "4" "square,edge2"] + Pad[127.95mil 49.21mil 137.80mil 49.21mil 11.81mil 23.62mil 23.62mil "PA6/SPI1_MISO_ADC_IN6/TIM3_CH1/TIM10_CH1" "16" "square,edge2"] + Pad[49.21mil -137.80mil 49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PB15/SPI2_MOSI/ADC_IN21/TIM11_CH1/RTC_50_60HZ" "28" "square"] + Pad[-137.80mil -49.21mil -127.95mil -49.21mil 11.81mil 23.62mil 23.62mil "PB4/JNTRSTSPI1_MISO/TIM3_CH1" "40" "square"] + Pad[-29.53mil 127.95mil -29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "PH0/OSC_IN" "5" "square,edge2"] + Pad[127.95mil 29.53mil 137.80mil 29.53mil 11.81mil 23.62mil 23.62mil "PA7/SPI1_MOSI/ADC_IN7/TIM3_CH2/TIM11_CH1" "17" "square,edge2"] + Pad[29.53mil -137.80mil 29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PA8/USART1_CK/MCO" "29" "square"] + Pad[-137.80mil -29.53mil -127.95mil -29.53mil 11.81mil 23.62mil 23.62mil "PB5/I2C1_SMBA/TIM3_CH2/SPI1_MOSI" "41" "square"] + Pad[-9.84mil 127.95mil -9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "PH1/OSC_OUT" "6" "square,edge2"] + Pad[127.95mil 9.84mil 137.80mil 9.84mil 11.81mil 23.62mil 23.62mil "PB0/ADC_IN8/TIM3_CH3/VREF_OUT" "18" "square,edge2"] + Pad[9.84mil -137.80mil 9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PA9/USART1_TX" "30" "square"] + Pad[-137.80mil -9.84mil -127.95mil -9.84mil 11.81mil 23.62mil 23.62mil "PB6/I2C1_SCL/TIM4_CH1/USART1_TX" "42" "square"] + Pad[9.84mil 127.95mil 9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "NRST" "7" "square,edge2"] + Pad[127.95mil -9.84mil 137.80mil -9.84mil 11.81mil 23.62mil 23.62mil "PB1/ADC_IN9/TIM3_CH4/VREF_OUT" "19" "square,edge2"] + Pad[-9.84mil -137.80mil -9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PA10/USART1_RX" "31" "square"] + Pad[-137.80mil 9.84mil -127.95mil 9.84mil 11.81mil 23.62mil 23.62mil "PB7/I2C1_SDA/TIM4_CH2/USART1_RX/PVD_IN" "43" "square"] + Pad[29.53mil 127.95mil 29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "VSSA" "8" "square,edge2"] + Pad[127.95mil -29.53mil 137.80mil -29.53mil 11.81mil 23.62mil 23.62mil "PB2/BOOT1" "20" "square,edge2"] + Pad[-29.53mil -137.80mil -29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PA11/USART1_CTS/USBDM/SPI1_MISO" "32" "square"] + Pad[-137.80mil 29.53mil -127.95mil 29.53mil 11.81mil 23.62mil 23.62mil "BOOT0" "44" "square"] + Pad[49.21mil 127.95mil 49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "VDDA" "9" "square,edge2"] + Pad[127.95mil -49.21mil 137.80mil -49.21mil 11.81mil 23.62mil 23.62mil "PB10/I2C2_SCL/USART3_TX/TIM2_CH3" "21" "square,edge2"] + Pad[-49.21mil -137.80mil -49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PA12/USART1_RTS/USBDP/SPI1_MOSI" "33" "square"] + Pad[-137.80mil 49.21mil -127.95mil 49.21mil 11.81mil 23.62mil 23.62mil "PB8/TIM4_CH3/I2C1_SCL/TIM10_CH1" "45" "square"] + Pad[68.90mil 127.95mil 68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PA0/WKUP1/USART2_CTS/ADC_IN0/TIM2_CH1_ETR" "10" "square,edge2"] + Pad[127.95mil -68.90mil 137.80mil -68.90mil 11.81mil 23.62mil 23.62mil "PB11/I2C2_SDA/USART3_RX/TIM2_CH4" "22" "square,edge2"] + Pad[-68.90mil -137.80mil -68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PA13/JTMS/SWDIO" "34" "square"] + Pad[-137.80mil 68.90mil -127.95mil 68.90mil 11.81mil 23.62mil 23.62mil "PB9/TIM4_CH4/I2C1_SDA/TIM11_CH1" "46" "square"] + Pad[88.58mil 127.95mil 88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "PA1/USART2_RTS/ADC_IN1/TIM2_CH2" "11" "square,edge2"] + Pad[127.95mil -88.58mil 137.80mil -88.58mil 11.81mil 23.62mil 23.62mil "VSS1" "23" "square,edge2"] + Pad[-88.58mil -137.80mil -88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "VSS2" "35" "square"] + Pad[-137.80mil 88.58mil -127.95mil 88.58mil 11.81mil 23.62mil 23.62mil "VSS3" "47" "square"] + Pad[108.27mil 127.95mil 108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "PA2/USART2_TX/ADC_IN2/TIM2_CH3/TIM9_CH1" "12" "square,edge2"] + Pad[127.95mil -108.27mil 137.80mil -108.27mil 11.81mil 23.62mil 23.62mil "VDD1" "24" "square,edge2"] + Pad[-108.27mil -137.80mil -108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "VDD2" "36" "square"] + Pad[-137.80mil 108.27mil -127.95mil 108.27mil 11.81mil 23.62mil 23.62mil "VDD3" "48" "square"] Pad[0.0000 0.0000 0.0000 0.0000 220.47mil 23.62mil 232.28mil "GND" "GND" "square"] - ElementLine [122.05mil 137.80mil 137.80mil 137.80mil 10.00mil] - ElementLine [137.80mil 122.05mil 137.80mil 137.80mil 10.00mil] - ElementLine [-137.80mil 137.80mil -122.05mil 137.80mil 10.00mil] ElementLine [-137.80mil 122.05mil -137.80mil 137.80mil 10.00mil] - ElementLine [122.05mil -137.80mil 137.80mil -137.80mil 10.00mil] - ElementLine [137.80mil -137.80mil 137.80mil -122.05mil 10.00mil] - ElementLine [-137.80mil -137.80mil -122.05mil -137.80mil 10.00mil] + ElementLine [-137.80mil 137.80mil -122.05mil 137.80mil 10.00mil] ElementLine [-137.80mil -137.80mil -137.80mil -122.05mil 10.00mil] - ElementLine [125.98mil 125.98mil 125.98mil 125.98mil 10.00mil] + ElementLine [-137.80mil -137.80mil -122.05mil -137.80mil 10.00mil] + ElementLine [137.80mil 122.05mil 137.80mil 137.80mil 10.00mil] + ElementLine [122.05mil 137.80mil 137.80mil 137.80mil 10.00mil] + ElementLine [137.80mil -137.80mil 137.80mil -122.05mil 10.00mil] + ElementLine [122.05mil -137.80mil 137.80mil -137.80mil 10.00mil] + ElementLine [-125.98mil 125.98mil -125.98mil 125.98mil 10.00mil] ) Element["" "0-215079-4" "J20" "Debug" 1261.00mil 53.00mil 0.0000 0.0000 0 100 ""] ( - Pin[0.0000 100.00mil 62.99mil 12.00mil 72.99mil 31.50mil "1" "1" "square,edge2,thermal(3X)"] + Pin[0.0000 100.00mil 62.99mil 12.00mil 72.99mil 31.50mil "1" "1" "square,edge2,thermal(2,3X)"] Pin[50.00mil 0.0000 62.99mil 12.00mil 72.99mil 31.50mil "2" "2" "edge2"] Pin[100.00mil 100.00mil 62.99mil 12.00mil 72.99mil 31.50mil "3" "3" "edge2"] Pin[150.00mil 0.0000 62.99mil 12.00mil 72.99mil 31.50mil "4" "4" "edge2"] @@ -1049,61 +1049,61 @@ Element["" "0-215079-4" "J20" "Debug" 1261.00mil 53.00mil 0.0000 0.0000 0 100 "" ) -Element["" "Venus634FLPx" "U10" "Venus634FLPx" 46.9369mm 730.00mil 140.00mil -130.00mil 3 100 ""] -( - Pad[180.30mil -157.47mil 205.50mil -157.47mil 14.17mil 17.32mil 15.00mil "GND" "11" "square,edge2"] - Pad[-205.51mil -157.47mil -180.31mil -157.47mil 14.17mil 17.32mil 15.00mil "pin23" "23" "square"] - Pad[157.47mil 180.31mil 157.47mil 205.51mil 14.17mil 17.32mil 15.00mil "TXD0" "44" "square,edge2"] - Pad[157.47mil -205.50mil 157.47mil -180.30mil 14.17mil 17.32mil 15.00mil "pin12" "12" "square"] - Pad[180.30mil -125.97mil 205.50mil -125.97mil 14.17mil 17.32mil 15.00mil "GND" "10" "square,edge2"] - Pad[-205.51mil -125.97mil -180.31mil -125.97mil 14.17mil 17.32mil 15.00mil "GND_RF" "24" "square"] - Pad[125.97mil 180.31mil 125.97mil 205.51mil 14.17mil 17.32mil 15.00mil "SPI_CSN0/PIO6" "43" "square,edge2"] - Pad[125.97mil -205.50mil 125.97mil -180.30mil 14.17mil 17.32mil 15.00mil "pin13" "13" "square"] - Pad[180.30mil -94.48mil 205.50mil -94.48mil 14.17mil 17.32mil 15.00mil "BOOT_SEL" "9" "square,edge2"] - Pad[-205.51mil -94.48mil -180.31mil -94.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "25" "square"] - Pad[94.48mil 180.31mil 94.48mil 205.51mil 14.17mil 17.32mil 15.00mil "RXD0" "42" "square,edge2"] - Pad[94.48mil -205.50mil 94.48mil -180.30mil 14.17mil 17.32mil 15.00mil "GPIO20" "14" "square"] - Pad[180.30mil -62.98mil 205.50mil -62.98mil 14.17mil 17.32mil 15.00mil "GPIO24" "8" "square,edge2"] - Pad[-205.51mil -62.98mil -180.31mil -62.98mil 14.17mil 17.32mil 15.00mil "pin26" "26" "square"] - Pad[62.98mil 180.31mil 62.98mil 205.51mil 14.17mil 17.32mil 15.00mil "SPI_CLK/PIOO7" "41" "square,edge2"] - Pad[62.98mil -205.50mil 62.98mil -180.30mil 14.17mil 17.32mil 15.00mil "GND" "15" "square"] - Pad[180.30mil -31.49mil 205.50mil -31.49mil 14.17mil 17.32mil 15.00mil "LED/GPIO0" "7" "square,edge2"] - Pad[-205.51mil -31.49mil -180.31mil -31.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "27" "square"] - Pad[31.49mil 180.31mil 31.49mil 205.51mil 14.17mil 17.32mil 15.00mil "P1PPS" "40" "square,edge2"] - Pad[31.49mil -205.50mil 31.49mil -180.30mil 14.17mil 17.32mil 15.00mil "pin16" "16" "square"] - Pad[180.30mil 0.0000 205.50mil 0.0000 14.17mil 17.32mil 15.00mil "GPIO1" "6" "square,edge2"] - Pad[-205.51mil 0.0000 -180.31mil 0.0000 14.17mil 17.32mil 15.00mil "GND_RF" "28" "square"] - Pad[0.0000 180.31mil 0.0000 205.51mil 14.17mil 17.32mil 15.00mil "MISO/PIO8" "39" "square,edge2"] - Pad[0.0000 -205.50mil 0.0000 -180.30mil 14.17mil 17.32mil 15.00mil "V12O_RTC" "17" "square"] - Pad[180.30mil 31.50mil 205.50mil 31.50mil 14.17mil 17.32mil 15.00mil "GPIO2" "5" "square,edge2"] - Pad[-205.51mil 31.50mil -180.31mil 31.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "29" "square"] - Pad[-31.50mil 180.31mil -31.50mil 205.51mil 14.17mil 17.32mil 15.00mil "MOSI/PIO9" "38" "square,edge2"] - Pad[-31.50mil -205.50mil -31.50mil -180.30mil 14.17mil 17.32mil 15.00mil "VBAT" "18" "square"] - Pad[180.30mil 62.99mil 205.50mil 62.99mil 14.17mil 17.32mil 15.00mil "PIO12" "4" "square,edge2"] - Pad[-205.51mil 62.99mil -180.31mil 62.99mil 14.17mil 17.32mil 15.00mil "pin30" "30" "square"] - Pad[-62.99mil 180.31mil -62.99mil 205.51mil 14.17mil 17.32mil 15.00mil "PIO14" "37" "square,edge2"] - Pad[-62.99mil -205.50mil -62.99mil -180.30mil 14.17mil 17.32mil 15.00mil "GND" "19" "square"] - Pad[180.30mil 94.49mil 205.50mil 94.49mil 14.17mil 17.32mil 15.00mil "pin3" "3" "square,edge2"] - Pad[-205.51mil 94.49mil -180.31mil 94.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "31" "square"] - Pad[-94.49mil 180.31mil -94.49mil 205.51mil 14.17mil 17.32mil 15.00mil "REG_ENA" "36" "square,edge2"] - Pad[-94.49mil -205.50mil -94.49mil -180.30mil 14.17mil 17.32mil 15.00mil "pin20" "20" "square"] - Pad[180.30mil 125.98mil 205.50mil 125.98mil 14.17mil 17.32mil 15.00mil "VCC33I" "2" "square,edge2"] - Pad[-205.51mil 125.98mil -180.31mil 125.98mil 14.17mil 17.32mil 15.00mil "RFIN" "32" "square"] - Pad[-125.98mil 180.31mil -125.98mil 205.51mil 14.17mil 17.32mil 15.00mil "pin35" "35" "square,edge2"] - Pad[-125.98mil -205.50mil -125.98mil -180.30mil 14.17mil 17.32mil 15.00mil "GND_RF" "21" "square"] - Pad[180.30mil 157.48mil 205.50mil 157.48mil 14.17mil 17.32mil 15.00mil "RSTN" "1" "square,edge2"] - Pad[-205.51mil 157.48mil -180.31mil 157.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "33" "square"] - Pad[-157.48mil 180.31mil -157.48mil 205.51mil 14.17mil 17.32mil 15.00mil "pin34" "34" "square,edge2"] - Pad[-157.48mil -205.50mil -157.48mil -180.30mil 14.17mil 17.32mil 15.00mil "GND_RF" "22" "square"] - ElementLine [-196.85mil -196.84mil 196.84mil -196.84mil 10.00mil] - ElementLine [-196.85mil -196.84mil -196.85mil 196.85mil 10.00mil] - ElementLine [-196.85mil 196.85mil 196.84mil 196.85mil 10.00mil] - ElementLine [196.84mil -196.84mil 196.84mil 196.85mil 10.00mil] - ElementArc [208.65mil 208.66mil 5.00mil 5.00mil 270 360 10.00mil] - - ) - -Element["" "0402" "C35" "22pF" 945.74mil 1368.00mil -31.24mil -85.50mil 0 100 ""] +Element["" "Venus634FLPx" "U10" "Venus634FLPx" 46.9369mm 730.00mil -140.00mil 130.00mil 1 100 ""] +( + Pad[-205.50mil 157.47mil -180.30mil 157.47mil 14.17mil 17.32mil 15.00mil "GND" "11" "square"] + Pad[180.31mil 157.47mil 205.51mil 157.47mil 14.17mil 17.32mil 15.00mil "pin23" "23" "square,edge2"] + Pad[-157.47mil -205.51mil -157.47mil -180.31mil 14.17mil 17.32mil 15.00mil "TXD0" "44" "square"] + Pad[-157.47mil 180.30mil -157.47mil 205.50mil 14.17mil 17.32mil 15.00mil "pin12" "12" "square,edge2"] + Pad[-205.50mil 125.97mil -180.30mil 125.97mil 14.17mil 17.32mil 15.00mil "GND" "10" "square"] + Pad[180.31mil 125.97mil 205.51mil 125.97mil 14.17mil 17.32mil 15.00mil "GND_RF" "24" "square,edge2"] + Pad[-125.97mil -205.51mil -125.97mil -180.31mil 14.17mil 17.32mil 15.00mil "SPI_CSN0/PIO6" "43" "square"] + Pad[-125.97mil 180.30mil -125.97mil 205.50mil 14.17mil 17.32mil 15.00mil "pin13" "13" "square,edge2"] + Pad[-205.50mil 94.48mil -180.30mil 94.48mil 14.17mil 17.32mil 15.00mil "BOOT_SEL" "9" "square"] + Pad[180.31mil 94.48mil 205.51mil 94.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "25" "square,edge2"] + Pad[-94.48mil -205.51mil -94.48mil -180.31mil 14.17mil 17.32mil 15.00mil "RXD0" "42" "square"] + Pad[-94.48mil 180.30mil -94.48mil 205.50mil 14.17mil 17.32mil 15.00mil "GPIO20" "14" "square,edge2"] + Pad[-205.50mil 62.98mil -180.30mil 62.98mil 14.17mil 17.32mil 15.00mil "GPIO24" "8" "square"] + Pad[180.31mil 62.98mil 205.51mil 62.98mil 14.17mil 17.32mil 15.00mil "pin26" "26" "square,edge2"] + Pad[-62.98mil -205.51mil -62.98mil -180.31mil 14.17mil 17.32mil 15.00mil "SPI_CLK/PIOO7" "41" "square"] + Pad[-62.98mil 180.30mil -62.98mil 205.50mil 14.17mil 17.32mil 15.00mil "GND" "15" "square,edge2"] + Pad[-205.50mil 31.49mil -180.30mil 31.49mil 14.17mil 17.32mil 15.00mil "LED/GPIO0" "7" "square"] + Pad[180.31mil 31.49mil 205.51mil 31.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "27" "square,edge2"] + Pad[-31.49mil -205.51mil -31.49mil -180.31mil 14.17mil 17.32mil 15.00mil "P1PPS" "40" "square"] + Pad[-31.49mil 180.30mil -31.49mil 205.50mil 14.17mil 17.32mil 15.00mil "pin16" "16" "square,edge2"] + Pad[-205.50mil 0.0000 -180.30mil 0.0000 14.17mil 17.32mil 15.00mil "GPIO1" "6" "square"] + Pad[180.31mil 0.0000 205.51mil 0.0000 14.17mil 17.32mil 15.00mil "GND_RF" "28" "square,edge2"] + Pad[0.0000 -205.51mil 0.0000 -180.31mil 14.17mil 17.32mil 15.00mil "MISO/PIO8" "39" "square"] + Pad[0.0000 180.30mil 0.0000 205.50mil 14.17mil 17.32mil 15.00mil "V12O_RTC" "17" "square,edge2"] + Pad[-205.50mil -31.50mil -180.30mil -31.50mil 14.17mil 17.32mil 15.00mil "GPIO2" "5" "square"] + Pad[180.31mil -31.50mil 205.51mil -31.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "29" "square,edge2"] + Pad[31.50mil -205.51mil 31.50mil -180.31mil 14.17mil 17.32mil 15.00mil "MOSI/PIO9" "38" "square"] + Pad[31.50mil 180.30mil 31.50mil 205.50mil 14.17mil 17.32mil 15.00mil "VBAT" "18" "square,edge2"] + Pad[-205.50mil -62.99mil -180.30mil -62.99mil 14.17mil 17.32mil 15.00mil "PIO12" "4" "square"] + Pad[180.31mil -62.99mil 205.51mil -62.99mil 14.17mil 17.32mil 15.00mil "pin30" "30" "square,edge2"] + Pad[62.99mil -205.51mil 62.99mil -180.31mil 14.17mil 17.32mil 15.00mil "PIO14" "37" "square"] + Pad[62.99mil 180.30mil 62.99mil 205.50mil 14.17mil 17.32mil 15.00mil "GND" "19" "square,edge2"] + Pad[-205.50mil -94.49mil -180.30mil -94.49mil 14.17mil 17.32mil 15.00mil "pin3" "3" "square"] + Pad[180.31mil -94.49mil 205.51mil -94.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "31" "square,edge2"] + Pad[94.49mil -205.51mil 94.49mil -180.31mil 14.17mil 17.32mil 15.00mil "REG_ENA" "36" "square"] + Pad[94.49mil 180.30mil 94.49mil 205.50mil 14.17mil 17.32mil 15.00mil "pin20" "20" "square,edge2"] + Pad[-205.50mil -125.98mil -180.30mil -125.98mil 14.17mil 17.32mil 15.00mil "VCC33I" "2" "square"] + Pad[180.31mil -125.98mil 205.51mil -125.98mil 14.17mil 17.32mil 15.00mil "RFIN" "32" "square,edge2"] + Pad[125.98mil -205.51mil 125.98mil -180.31mil 14.17mil 17.32mil 15.00mil "pin35" "35" "square"] + Pad[125.98mil 180.30mil 125.98mil 205.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "21" "square,edge2"] + Pad[-205.50mil -157.48mil -180.30mil -157.48mil 14.17mil 17.32mil 15.00mil "RSTN" "1" "square"] + Pad[180.31mil -157.48mil 205.51mil -157.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "33" "square,edge2"] + Pad[157.48mil -205.51mil 157.48mil -180.31mil 14.17mil 17.32mil 15.00mil "pin34" "34" "square"] + Pad[157.48mil 180.30mil 157.48mil 205.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "22" "square,edge2"] + ElementLine [-196.84mil 196.84mil 196.85mil 196.84mil 10.00mil] + ElementLine [196.85mil -196.85mil 196.85mil 196.84mil 10.00mil] + ElementLine [-196.84mil -196.85mil 196.85mil -196.85mil 10.00mil] + ElementLine [-196.84mil -196.85mil -196.84mil 196.84mil 10.00mil] + ElementArc [-208.65mil -208.66mil 5.00mil 5.00mil 90 360 10.00mil] + + ) + +Element["" "0402" "C35" "22pF" 53.4482mm 500.00mil -31.24mil -85.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1117,7 +1117,7 @@ Element["" "0402" "R51" "1k" 778.00mil 27.1338mm -94.19mil -11.82mil 0 100 ""] ) -Element["" "0402" "C39" "1uF" 42.2082mm 1664.00mil -53.76mil -77.50mil 0 100 ""] +Element["" "0402" "C39" "1uF" 42.2082mm 1405.00mil -53.76mil -77.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1149,28 +1149,28 @@ Element["" "0402" "R101" "22" 264.00mil 29.4452mm -68.02mil -76.76mil 0 100 ""] Element["" "hole-M3" "H4" "unknown" 125.00mil 875.00mil -24.00mil -40.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(0X,2S,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) Element["" "hole-M3" "H3" "unknown" 2375.00mil 875.00mil -30.00mil -10.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(0S,2X,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) Element["" "hole-M3" "H2" "unknown" 2375.00mil 125.00mil -30.00mil -30.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(0S,2X,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) Element["" "hole-M3" "H1" "unknown" 125.00mil 125.00mil -25.00mil -15.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(2S,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) @@ -1258,38 +1258,24 @@ Element["" "ti-qfn20" "U3" "CC115L" 9.7483mm 4.4926mm 0.0000 0.0000 0 100 ""] ) -Element["" "microSD" "J1" "microSD" 811.42mil 63.16mil 0.0000 0.0000 0 100 ""] +Element["" "microSD" "J1" "microSD" 14.7870mm 303.19mil 0.0000 0.0000 1 100 ""] ( - Pad[-267.71mil 3.3749mm -267.71mil 150.59mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] - Pad[267.72mil 3.3749mm 267.72mil 150.59mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] - Pad[-267.71mil 463.58mil -267.71mil 481.30mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] - Pad[267.72mil 463.58mil 267.72mil 481.30mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] - Pad[124.80mil 363.98mil 124.80mil 381.69mil 23.62mil 0.0000 29.53mil "DAT2" "1" "square,edge2"] - Pad[81.50mil 363.98mil 81.50mil 381.69mil 23.62mil 0.0000 29.53mil "CD/DAT3" "2" "square,edge2"] - Pad[38.19mil 363.98mil 38.19mil 381.69mil 23.62mil 0.0000 29.53mil "CMD" "3" "square,edge2"] - Pad[-5.11mil 363.98mil -5.11mil 381.69mil 23.62mil 0.0000 29.53mil "VDD" "4" "square,edge2"] - Pad[-48.42mil 363.98mil -48.42mil 381.69mil 23.62mil 0.0000 29.53mil "CLK" "5" "square,edge2"] - Pad[-91.72mil 363.98mil -91.72mil 381.69mil 23.62mil 0.0000 29.53mil "VSS" "6" "square,edge2"] - Pad[-135.03mil 363.98mil -135.03mil 381.69mil 23.62mil 0.0000 29.53mil "DAT0" "7" "square,edge2"] - Pad[-178.34mil 363.98mil -178.34mil 381.69mil 23.62mil 0.0000 29.53mil "DAT1" "8" "square,edge2"] - ElementLine [267.72mil 0.0000 267.72mil 523.62mil 10.00mil] - ElementLine [-267.71mil 0.0000 -267.71mil 523.62mil 10.00mil] - ElementLine [-267.71mil 0.0000 267.72mil 0.0000 10.00mil] - ElementLine [-267.71mil 523.62mil 267.72mil 523.62mil 10.00mil] - - ) - -Element["" "ap.10g" "J10" "AP.10G.01" 57.5171mm 12.8238mm -64.00mil 95.41mil 3 100 ""] -( - Pin[155.51mil -190.94mil 59.84mil 0.0000 59.84mil 59.06mil "GND" "GND" ""] - Pin[155.51mil 190.94mil 59.84mil 0.0000 59.84mil 59.06mil "GND" "GND" ""] - Pad[36.42mil -197.05mil 69.88mil -197.05mil 49.21mil 0.0000 49.21mil "GND" "GND" "square,edge2"] - Pad[36.42mil 197.05mil 69.88mil 197.05mil 49.21mil 0.0000 49.21mil "GND" "GND" "square,edge2"] - Pad[-24.61mil -154.53mil -24.61mil -121.06mil 49.21mil 0.0000 49.21mil "GND" "GND" "square"] - Pad[-24.61mil 121.06mil -24.61mil 154.53mil 49.21mil 0.0000 49.21mil "GND" "GND" "square,edge2"] - Pad[-24.61mil -16.73mil -24.61mil 16.73mil 49.21mil 19.69mil 59.06mil "1" "1" "square"] - Pad[-117.32mil 0.0000 -50.00mil 0.0000 50.79mil 19.69mil 1.5400mm "1" "1" "square"] - ElementLine [230.31mil -190.94mil 230.31mil 190.94mil 10.00mil] + Pad[3.3749mm 267.71mil 150.59mil 267.71mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] + Pad[3.3749mm -267.72mil 150.59mil -267.72mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] + Pad[463.58mil 267.71mil 481.30mil 267.71mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] + Pad[463.58mil -267.72mil 481.30mil -267.72mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] + Pad[363.98mil -124.80mil 381.69mil -124.80mil 23.62mil 0.0000 29.53mil "DAT2" "1" "square,edge2"] + Pad[363.98mil -81.50mil 381.69mil -81.50mil 23.62mil 0.0000 29.53mil "CD/DAT3" "2" "square,edge2"] + Pad[363.98mil -38.19mil 381.69mil -38.19mil 23.62mil 0.0000 29.53mil "CMD" "3" "square,edge2"] + Pad[363.98mil 5.11mil 381.69mil 5.11mil 23.62mil 0.0000 29.53mil "VDD" "4" "square,edge2"] + Pad[363.98mil 48.42mil 381.69mil 48.42mil 23.62mil 0.0000 29.53mil "CLK" "5" "square,edge2"] + Pad[363.98mil 91.72mil 381.69mil 91.72mil 23.62mil 0.0000 29.53mil "VSS" "6" "square,edge2"] + Pad[363.98mil 135.03mil 381.69mil 135.03mil 23.62mil 0.0000 29.53mil "DAT0" "7" "square,edge2"] + Pad[363.98mil 178.34mil 381.69mil 178.34mil 23.62mil 0.0000 29.53mil "DAT1" "8" "square,edge2"] + ElementLine [0.0000 -267.72mil 523.62mil -267.72mil 10.00mil] + ElementLine [0.0000 267.71mil 523.62mil 267.71mil 10.00mil] + ElementLine [0.0000 -267.72mil 0.0000 267.71mil 10.00mil] + ElementLine [523.62mil -267.72mil 523.62mil 267.71mil 10.00mil] ) @@ -1334,7 +1320,7 @@ Element["" "qfn-16" "U4" "RFPA0133" 8.7303mm 11.4540mm 0.0000 0.0000 0 100 ""] ) -Element["" "0402" "R36" "0" 56.1152mm 1220.00mil -0.8999mm 28.98mil 0 100 ""] +Element["" "0402" "R36" "0" 52.0512mm 1290.00mil -0.8999mm 28.98mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1348,21 +1334,21 @@ Element["" "0402" "C38" "10nF" 55.9374mm 1126.00mil -41.50mil -101.50mil 0 100 " ) -Element["" "0402" "C41" "tuning" 660.78mil 1265.00mil -37.24mil -75.50mil 0 100 ""] +Element["" "0402" "C41" "tuning" 53.8292mm 590.00mil -37.24mil -75.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "C34" "0.001uF" 634.78mil 1329.00mil -0.6025mm 20.50mil 0 100 ""] +Element["" "0402" "C34" "0.001uF" 54.2478mm 675.00mil -0.6025mm 20.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "L5" "27nH" 692.78mil 1180.00mil -31.50mil -81.50mil 0 100 ""] +Element["" "0402" "L5" "27nH" 54.5912mm 770.00mil -31.50mil -81.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1376,20 +1362,489 @@ Element["" "0402" "R33" "27k" 19.6540mm 1182.00mil -44.50mil -95.50mil 0 100 ""] ) -Element["" "0402" "C5" "4.7uF" 720.78mil 1321.00mil -43.24mil -109.50mil 0 100 ""] +Element["" "0402" "C5" "4.7uF" 720.78mil 1321.00mil 2.5461mm -89.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "ap.10g" "J10" "AP.10G.01" 2270.00mil 500.00mil 0.0000 0.0000 3 100 ""] +( + Pin[155.51mil -190.94mil 59.84mil 0.0000 59.84mil 59.06mil "2" "2" ""] + Pin[155.51mil 190.94mil 59.84mil 0.0000 59.84mil 59.06mil "2" "2" ""] + Pad[36.42mil -197.05mil 69.88mil -197.05mil 49.21mil 0.0000 49.21mil "2" "2" "square,edge2"] + Pad[36.42mil 197.05mil 69.88mil 197.05mil 49.21mil 0.0000 49.21mil "2" "2" "square,edge2"] + Pad[-24.61mil -154.53mil -24.61mil -121.06mil 49.21mil 0.0000 49.21mil "2" "2" "square"] + Pad[-24.61mil 121.06mil -24.61mil 154.53mil 49.21mil 0.0000 49.21mil "2" "2" "square,edge2"] + Pad[-24.61mil -16.73mil -24.61mil 16.73mil 49.21mil 19.69mil 59.06mil "1" "1" "square"] + Pad[-117.32mil 0.0000 -50.00mil 0.0000 50.79mil 19.69mil 1.5400mm "1" "1" "square"] + ElementLine [225.31mil -190.94mil 225.31mil 190.94mil 10.00mil] + + ) + +Element["" "ABM8" "X1" "26mhz" 553.58mil 29.3437mm 0.0000 79.46mil 0 100 ""] +( + Pad[36.42mil -50.19mil 36.42mil -40.34mil 41.34mil -9.83mil 47.34mil "2" "2" "square"] + Pad[36.42mil 40.35mil 36.42mil 50.20mil 41.34mil -9.83mil 47.34mil "1" "1" "square,edge2"] + Pad[-36.41mil 40.35mil -36.41mil 50.20mil 41.34mil -9.83mil 47.34mil "4" "4" "square,edge2"] + Pad[-36.41mil -50.19mil -36.41mil -40.34mil 41.34mil -9.83mil 47.34mil "3" "3" "square"] + ElementArc [6.30mil 1.5400mm 5.00mil 5.00mil 0 360 10.00mil] + + ) + +Element["" "0402" "C21" "unknown" 70.00mil 1730.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C24" "unknown" 390.00mil 1730.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C22" "unknown" 180.00mil 1730.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "R2" "unknown" 765.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "R3" "unknown" 875.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L6" "unknown" 990.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C10" "unknown" 36.5948mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C14" "unknown" 28.0858mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C6" "unknown" 31.0068mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C9" "unknown" 34.0548mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C18" "unknown" 39.3888mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C17" "unknown" 42.1828mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C8" "unknown" 51.5808mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C11" "unknown" 44.4688mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C2" "unknown" 47.1358mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "R1" "unknown" 49.4218mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C13" "unknown" 53.7398mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C7" "unknown" 56.0258mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C1" "unknown" 58.4388mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C16" "unknown" 60.8518mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L4" "unknown" 70.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L7" "unknown" 4.5908mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C19" "unknown" 9.7978mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C25" "unknown" 475.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C23" "unknown" 560.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C20" "unknown" 650.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C15" "unknown" 7.5118mm 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C12" "unknown" 395.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C3" "unknown" 505.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L2" "unknown" 4.4638mm 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L3" "unknown" 590.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L1" "unknown" 675.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L8" "unknown" 70.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) +Rat[51.9806mm 40.6672mm 0 49.9740mm 35.2062mm 0 ""] +Rat[49.9740mm 35.2062mm 0 51.6514mm 32.6662mm 0 ""] +Rat[51.9806mm 40.6672mm 0 44.8686mm 40.6672mm 0 ""] +Rat[51.6514mm 32.6662mm 0 1739.10mil 29.1894mm 0 ""] +Rat[44.8686mm 40.6672mm 0 1467.00mil 36.8318mm 0 ""] +Rat[51.6514mm 32.6662mm 0 2165.00mil 766.07mil 0 ""] +Rat[2165.00mil 766.07mil 0 54.6476mm 671.07mil 0 ""] +Rat[54.6476mm 671.07mil 0 1942.40mil 13.3220mm 0 ""] +Rat[1942.40mil 13.3220mm 0 44.1129mm 369.80mil 0 ""] +Rat[44.1129mm 369.80mil 0 1707.20mil 340.27mil 0 ""] +Rat[1707.20mil 340.27mil 0 44.1129mm 94.20mil 0 ""] +Rat[44.1129mm 94.20mil 0 1982.80mil 123.73mil 0 ""] +Rat[44.1129mm 369.80mil 0 41.7172mm 604.02mil 0 ""] +Rat[41.7172mm 604.02mil 0 24.4819mm 308.30mil 0 ""] +Rat[24.4819mm 308.30mil 0 12.0582mm 3.9927mm 0 ""] +Rat[12.0582mm 3.9927mm 0 10.7483mm 85.94mil 0 ""] +Rat[10.7483mm 85.94mil 0 9.2484mm 85.94mil 0 ""] +Rat[9.2484mm 85.94mil 0 8.7486mm 85.94mil 0 ""] +Rat[8.7486mm 85.94mil 0 7.4387mm 4.4926mm 0 ""] +Rat[12.0582mm 3.9927mm 0 10.2484mm 6.8025mm 0 ""] +Rat[10.2484mm 6.8025mm 0 6.7455mm 12.2041mm 0 ""] +Rat[6.7455mm 12.2041mm 0 374.00mil 924.00mil 0 ""] +Rat[38.0002mm 27.4452mm 0 1707.20mil 261.53mil 0 ""] +Rat[24.4819mm 221.69mil 0 1982.80mil 320.58mil 0 ""] +Rat[12.0582mm 4.9927mm 0 49.6131mm 94.20mil 0 ""] +Rat[114.55mil 400.00mil 3 5.00mil 5.00mil 2 "via"] +Rat[115.00mil 600.00mil 3 5.00mil 5.00mil 2 "via"] +Rat[9.4804mm 13.4390mm 0 5.00mil 5.00mil 2 "via"] +Rat[10.7153mm 12.2041mm 0 5.00mil 5.00mil 2 "via"] +Rat[10.7153mm 11.2043mm 0 5.00mil 5.00mil 2 "via"] +Rat[10.7153mm 10.7042mm 0 5.00mil 5.00mil 2 "via"] +Rat[8.4806mm 9.4692mm 0 5.00mil 5.00mil 2 "via"] +Rat[6.7455mm 11.7039mm 0 5.00mil 5.00mil 2 "via"] +Rat[8.7303mm 11.4540mm 0 5.00mil 5.00mil 2 "via"] +Rat[44.6131mm 94.20mil 0 5.00mil 5.00mil 2 "via"] +Rat[1707.20mil 320.58mil 0 5.00mil 5.00mil 2 "via"] +Rat[41.7172mm 792.98mil 0 5.00mil 5.00mil 2 "via"] +Rat[41.7172mm 855.97mil 0 5.00mil 5.00mil 2 "via"] +Rat[41.7172mm 887.47mil 0 5.00mil 5.00mil 2 "via"] +Rat[45.3372mm 935.50mil 0 5.00mil 5.00mil 2 "via"] +Rat[335.00mil 836.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[10.5484mm 5.2927mm 3 5.00mil 5.00mil 2 "via"] +Rat[7.4387mm 4.9927mm 0 5.00mil 5.00mil 2 "via"] +Rat[7.4387mm 3.4929mm 0 5.00mil 5.00mil 2 "via"] +Rat[804.00mil 864.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[471.00mil 878.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[32.8407mm 812.98mil 0 5.00mil 5.00mil 2 "via"] +Rat[32.1907mm 812.98mil 0 5.00mil 5.00mil 2 "via"] +Rat[7.9805mm 13.4390mm 0 5.00mil 5.00mil 2 "via"] +Rat[8.4806mm 13.4390mm 0 5.00mil 5.00mil 2 "via"] +Rat[24.4819mm 394.91mil 0 5.00mil 5.00mil 2 "via"] +Rat[34.6250mm 365.53mil 0 5.00mil 5.00mil 2 "via"] +Rat[39.2247mm 460.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[45.3372mm 935.50mil 0 1910.90mil 935.50mil 0 ""] +Rat[1707.20mil 320.58mil 0 47.6131mm 369.80mil 0 ""] +Rat[1910.90mil 935.50mil 0 50.4820mm 28.0688mm 0 ""] +Rat[50.4820mm 28.0688mm 0 1853.00mil 28.6276mm 0 ""] +Rat[804.00mil 864.00mil 0 774.07mil 1084.00mil 0 ""] +Rat[774.07mil 1084.00mil 0 789.52mil 29.9230mm 0 ""] +Rat[789.52mil 29.9230mm 0 17.9080mm 33.4536mm 0 ""] +Rat[17.9080mm 33.4536mm 0 758.00mil 35.8412mm 0 ""] +Rat[774.07mil 1084.00mil 0 590.00mil 28.0689mm 0 ""] +Rat[590.00mil 28.0689mm 0 517.17mil 30.6188mm 0 ""] +Rat[517.17mil 30.6188mm 0 11.6350mm 34.7858mm 0 ""] +Rat[789.52mil 29.9230mm 0 983.00mil 29.6690mm 0 ""] +Rat[758.00mil 35.8412mm 0 666.48mil 40.6672mm 0 ""] +Rat[666.48mil 40.6672mm 0 576.48mil 40.6672mm 0 ""] +Rat[576.48mil 40.6672mm 0 491.48mil 40.6672mm 0 ""] +Rat[491.48mil 40.6672mm 0 401.48mil 40.6672mm 0 ""] +Rat[401.48mil 40.6672mm 0 9.5062mm 43.8422mm 0 ""] +Rat[9.5062mm 43.8422mm 0 380.00mil 46.7632mm 0 ""] +Rat[9.5062mm 43.8422mm 0 4.1722mm 43.8422mm 0 ""] +Rat[4.1722mm 43.8422mm 0 160.00mil 46.7632mm 0 ""] +Rat[983.00mil 29.6690mm 0 30.3040mm 28.8930mm 0 ""] +Rat[50.4820mm 28.0688mm 0 2218.00mil 28.5006mm 0 ""] +Rat[2218.00mil 28.5006mm 0 59.3984mm 30.6596mm 0 ""] +Rat[44.6131mm 94.20mil 0 1982.80mil 143.42mil 0 ""] +Rat[1853.00mil 28.6276mm 0 1621.00mil 29.1894mm 0 ""] +Rat[1621.00mil 29.1894mm 0 38.0002mm 1112.00mil 0 ""] +Rat[30.3040mm 28.8930mm 0 29.2232mm 35.2062mm 0 ""] +Rat[29.2232mm 35.2062mm 0 1205.00mil 40.6672mm 0 ""] +Rat[1205.00mil 40.6672mm 0 1090.00mil 40.6672mm 0 ""] +Rat[1205.00mil 40.6672mm 0 1325.00mil 40.6672mm 0 ""] +Rat[1325.00mil 40.6672mm 0 1425.00mil 40.6672mm 0 ""] +Rat[1425.00mil 40.6672mm 0 1535.00mil 40.6672mm 0 ""] +Rat[1535.00mil 40.6672mm 0 1645.00mil 40.6672mm 0 ""] +Rat[1645.00mil 40.6672mm 0 1735.00mil 40.6672mm 0 ""] +Rat[1735.00mil 40.6672mm 0 1840.00mil 40.6672mm 0 ""] +Rat[1840.00mil 40.6672mm 0 1930.00mil 40.6672mm 0 ""] +Rat[1930.00mil 40.6672mm 0 2015.00mil 40.6672mm 0 ""] +Rat[2015.00mil 40.6672mm 0 2100.00mil 40.6672mm 0 ""] +Rat[2100.00mil 40.6672mm 0 2190.00mil 40.6672mm 0 ""] +Rat[2190.00mil 40.6672mm 0 58.8386mm 40.6672mm 0 ""] +Rat[58.8386mm 40.6672mm 0 2380.00mil 40.6672mm 0 ""] +Rat[1645.00mil 40.6672mm 0 1646.00mil 35.5872mm 0 ""] +Rat[1930.00mil 40.6672mm 0 1936.00mil 35.2062mm 0 ""] +Rat[125.00mil 875.00mil 3 157.00mil 29.6690mm 0 ""] +Rat[2120.00mil 671.07mil 0 57.6579mm 11.4000mm 0 "via"] +Rat[50.1368mm 935.50mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 855.97mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 824.48mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 761.49mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 730.00mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 698.50mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 16.1420mm 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 14.5420mm 0 5.00mil 5.00mil 1 "via"] +Rat[50.9369mm 935.50mil 0 5.00mil 5.00mil 1 "via"] +Rat[53.4294mm 586.07mil 0 5.00mil 5.00mil 1 "via"] +Rat[45.6131mm 369.80mil 0 46.1371mm 13.3220mm 0 ""] +Rat[24.4819mm 438.22mil 0 1982.80mil 281.21mil 0 ""] +Rat[9.2484mm 6.8025mm 0 1913.90mil 94.20mil 0 ""] +Rat[24.4819mm 265.00mil 0 1982.80mil 261.53mil 0 ""] +Rat[7.4387mm 5.4926mm 0 48.1129mm 94.20mil 0 ""] +Rat[34.4546mm 40.6672mm 0 1982.80mil 182.79mil 0 ""] +Rat[34.4546mm 40.6672mm 0 7.9805mm 9.4692mm 0 ""] +Rat[31.4066mm 40.6672mm 0 6.7455mm 10.7042mm 0 ""] +Rat[31.4066mm 40.6672mm 0 1982.80mil 163.10mil 0 ""] +Rat[42.5826mm 40.6672mm 0 39.7886mm 40.6672mm 0 ""] +Rat[42.5826mm 40.6672mm 0 1707.20mil 300.90mil 0 ""] +Rat[1707.20mil 300.90mil 0 10.7153mm 11.7039mm 0 ""] +Rat[9.7483mm 6.8025mm 0 47.1129mm 94.20mil 0 ""] +Rat[12.0582mm 5.4926mm 0 46.6131mm 94.20mil 0 ""] +Rat[3.1882mm 29.6690mm 0 296.00mil 924.00mil 0 ""] +Rat[296.00mil 924.00mil 0 1311.00mil 53.00mil 3 ""] +Rat[1311.00mil 53.00mil 3 41.7172mm 14.5420mm 0 ""] +Rat[41.7172mm 14.5420mm 0 47.1129mm 369.80mil 0 ""] +Rat[1707.20mil 241.84mil 0 42.9372mm 13.3220mm 0 ""] +Rat[24.4819mm 351.61mil 0 1982.80mil 300.90mil 0 ""] +Rat[8.7486mm 6.8025mm 0 49.1129mm 94.20mil 0 ""] +Rat[1411.00mil 53.00mil 3 1707.20mil 123.73mil 0 ""] +Rat[1361.00mil 153.00mil 3 1776.10mil 94.20mil 0 ""] +Rat[1707.20mil 222.16mil 0 44.5371mm 13.3220mm 0 ""] +Rat[2370.00mil 30.6596mm 0 2065.00mil 32.6662mm 0 ""] +Rat[2065.00mil 32.6662mm 0 569.00mil 839.00mil 0 ""] +Rat[55.5376mm 28.5006mm 0 569.00mil 917.00mil 0 ""] +Rat[18.0102mm 943.00mil 0 19.6602mm 976.46mil 0 ""] +Rat[19.6602mm 976.46mil 0 804.00mil 903.00mil 0 ""] +Rat[951.52mil 29.6690mm 0 706.00mil 903.00mil 0 ""] +Rat[18.0102mm 976.46mil 0 356.07mil 1395.00mil 0 ""] +Rat[19.6602mm 943.00mil 0 774.07mil 26.7340mm 0 ""] +Rat[758.04mil 29.9230mm 0 41.7172mm 824.48mil 0 ""] +Rat[54.6781mm 500.00mil 0 54.1914mm 766.07mil 0 ""] +Rat[53.0484mm 496.07mil 0 2135.00mil 586.07mil 0 ""] +Rat[2135.00mil 586.07mil 0 52.1569mm 604.02mil 0 ""] +Rat[1956.00mil 28.0688mm 0 46.6131mm 369.80mil 0 ""] +Rat[46.6131mm 369.80mil 0 34.6250mm 460.00mil 0 ""] +Rat[47.8658mm 28.6276mm 0 46.1129mm 369.80mil 0 ""] +Rat[46.1129mm 369.80mil 0 39.2247mm 365.53mil 0 ""] +Rat[30.3040mm 1169.00mil 0 1982.80mil 202.47mil 0 ""] +Rat[260.07mil 29.0454mm 0 46.1129mm 94.20mil 0 ""] +Rat[350.07mil 28.8168mm 0 45.6131mm 94.20mil 0 ""] +Rat[27.0120mm 35.47mil 0 18.1619mm 35.47mil 0 ""] +Rat[27.0120mm 35.47mil 0 27.0120mm 570.90mil 0 ""] +Rat[27.0120mm 570.90mil 0 18.1619mm 570.90mil 0 ""] +Rat[2285.00mil 40.6672mm 0 660.00mil 46.7632mm 0 ""] +Rat[660.00mil 46.7632mm 0 9.7483mm 85.94mil 0 ""] +Rat[490.00mil 46.7632mm 0 191.48mil 46.7632mm 0 ""] +Rat[490.00mil 46.7632mm 0 10.2484mm 85.94mil 0 ""] +Rat[54.1396mm 40.6672mm 0 517.17mil 28.0689mm 0 ""] +Rat[517.17mil 28.0689mm 0 12.0582mm 4.4926mm 0 ""] +Rat[56.4256mm 40.6672mm 0 590.00mil 30.6188mm 0 ""] +Rat[590.00mil 30.6188mm 0 12.0582mm 3.4929mm 0 ""] +Rat[47.5356mm 40.6672mm 0 10.7483mm 6.8025mm 0 ""] +Rat[49.8216mm 40.6672mm 0 7.4387mm 3.9927mm 0 ""] +Rat[311.48mil 46.7632mm 0 8.9802mm 13.4390mm 0 ""] +Rat[370.00mil 40.6672mm 0 165.00mil 40.6672mm 0 ""] +Rat[165.00mil 40.6672mm 0 86.48mil 46.7632mm 0 ""] +Rat[370.00mil 40.6672mm 0 9.4804mm 9.4692mm 0 ""] +Rat[9.4804mm 9.4692mm 0 8.9802mm 9.4692mm 0 ""] +Rat[975.00mil 40.6672mm 0 860.00mil 40.6672mm 0 ""] +Rat[860.00mil 40.6672mm 0 6.7455mm 11.2043mm 0 ""] +Rat[85.74mil 43.8422mm 0 405.74mil 43.8422mm 0 ""] +Rat[85.74mil 43.8422mm 0 1.2647mm 12.7222mm 0 ""] +Rat[54.26mil 43.8422mm 0 4.9718mm 43.8422mm 0 ""] +Rat[4.9718mm 43.8422mm 0 196.48mil 40.6672mm 0 ""] +Rat[521.48mil 46.7632mm 0 575.00mil 46.7632mm 0 ""] +Rat[575.00mil 46.7632mm 0 691.48mil 46.7632mm 0 ""] +Rat[411.48mil 46.7632mm 0 606.48mil 46.7632mm 0 ""] +Rat[411.48mil 46.7632mm 0 55.00mil 40.6672mm 0 ""] +Rat[280.00mil 46.7632mm 0 86.48mil 40.6672mm 0 ""] +Rat[280.00mil 46.7632mm 0 61.2516mm 40.6672mm 0 ""] +Rat[28.4856mm 40.6672mm 0 891.48mil 40.6672mm 0 ""] +Rat[36.9946mm 40.6672mm 0 25.5646mm 40.6672mm 0 ""] +Rat[25.5646mm 40.6672mm 0 750.00mil 40.6672mm 0 ""] +Rat[30.8910mm 812.98mil 0 260.07mil 1175.00mil 0 ""] +Rat[31.5407mm 812.98mil 0 350.07mil 1166.00mil 0 ""] +Rat[944.00mil 833.26mil 3 804.00mil 825.00mil 0 ""] +Rat[804.00mil 825.00mil 0 736.52mil 33.4536mm 0 ""] +Rat[944.00mil 833.26mil 3 47.7370mm 935.50mil 0 ""] +Rat[635.00mil 40.6672mm 0 545.00mil 40.6672mm 0 ""] +Rat[545.00mil 40.6672mm 0 460.00mil 40.6672mm 0 ""] +Rat[635.00mil 40.6672mm 0 781.48mil 40.6672mm 0 ""] +Rat[460.00mil 40.6672mm 0 55.00mil 46.7632mm 0 ""] +Rat[460.00mil 40.6672mm 0 471.00mil 917.00mil 0 ""] +Rat[471.00mil 917.00mil 0 471.00mil 839.00mil 0 ""] +Rat[781.48mil 40.6672mm 0 42.6080mm 35.5872mm 0 ""] +Rat[11.6350mm 1401.00mil 0 356.07mil 34.6334mm 0 ""] +Rat[11.6350mm 1401.00mil 0 706.00mil 825.00mil 0 ""] +Rat[706.00mil 825.00mil 0 30.2410mm 812.98mil 0 ""] +Rat[789.48mil 35.8412mm 0 1182.00mil 35.2062mm 0 ""] +Rat[1182.00mil 35.2062mm 0 36.4622mm 36.8318mm 0 ""] +Rat[36.4622mm 36.8318mm 0 48.1129mm 369.80mil 0 ""] Layer(1 "top") ( + Polygon("clearpoly") + ( + [2100.00mil 5.00mil] [2495.00mil 5.00mil] [2495.00mil 995.00mil] [2100.00mil 995.00mil] + ) ) Layer(2 "power") ( + Polygon("clearpoly") + ( + [5.00mil 5.00mil] [2495.00mil 5.00mil] [2495.00mil 995.00mil] [5.00mil 995.00mil] + ) ) Layer(3 "ground") ( + Polygon("clearpoly") + ( + [5.00mil 5.00mil] [1810.00mil 5.00mil] [1810.00mil 995.00mil] [5.00mil 995.00mil] + ) ) Layer(4 "bottom") ( @@ -1412,12 +1867,22 @@ NetList() ( Net("+3.3V" "(unknown)") ( + Connect("C8-2") + Connect("C11-2") Connect("C34-2") Connect("C36-2") Connect("C40-2") + Connect("J1-4") Connect("L5-2") Connect("L600-1") Connect("R36-1") + Connect("U3-4") + Connect("U3-9") + Connect("U3-11") + Connect("U3-14") + Connect("U3-15") + Connect("U3-18") + Connect("U4-16") Connect("U7-1") Connect("U7-24") Connect("U7-36") @@ -1433,17 +1898,39 @@ NetList() ) Net("cs_flash" "(unknown)") ( + Connect("J1-2") Connect("U7-14") ) Net("cs_radio" "(unknown)") ( + Connect("U3-7") Connect("U7-25") ) Net("GND" "(unknown)") ( Connect("B1-2") + Connect("C1-2") + Connect("C2-1") Connect("C4-1") Connect("C5-1") + Connect("C6-1") + Connect("C7-1") + Connect("C8-1") + Connect("C9-1") + Connect("C10-1") + Connect("C11-1") + Connect("C12-1") + Connect("C13-1") + Connect("C14-1") + Connect("C16-1") + Connect("C17-1") + Connect("C18-1") + Connect("C19-2") + Connect("C20-2") + Connect("C22-1") + Connect("C23-2") + Connect("C24-1") + Connect("C25-2") Connect("C32-2") Connect("C33-2") Connect("C36-1") @@ -1455,12 +1942,14 @@ NetList() Connect("C602-2") Connect("C610-1") Connect("H1-1") - Connect("H2-1") - Connect("H3-1") Connect("H4-1") + Connect("J1-6") + Connect("J2-2") Connect("J5-4") Connect("J5-5") Connect("J20-1") + Connect("L2-1") + Connect("R1-1") Connect("R33-2") Connect("R35-1") Connect("R51-1") @@ -1468,6 +1957,18 @@ NetList() Connect("R402-2") Connect("U1-2") Connect("U2-2") + Connect("U3-16") + Connect("U3-19") + Connect("U3-21") + Connect("U4-1") + Connect("U4-2") + Connect("U4-4") + Connect("U4-5") + Connect("U4-7") + Connect("U4-8") + Connect("U4-11") + Connect("U4-15") + Connect("U4-17") Connect("U7-8") Connect("U7-23") Connect("U7-35") @@ -1478,6 +1979,8 @@ NetList() Connect("U10-15") Connect("U10-19") Connect("U11-3") + Connect("X1-2") + Connect("X1-4") Connect("X2-2") Connect("X2-4") ) @@ -1485,6 +1988,8 @@ NetList() ( Connect("C34-1") Connect("C41-1") + Connect("H2-1") + Connect("H3-1") Connect("J10-2") Connect("U10-21") Connect("U10-22") @@ -1503,26 +2008,51 @@ NetList() ) Net("miso1" "(unknown)") ( + Connect("J1-7") Connect("U7-16") ) Net("miso2" "(unknown)") ( + Connect("U3-2") Connect("U7-27") ) Net("mosi1" "(unknown)") ( + Connect("J1-3") Connect("U7-17") ) Net("mosi2" "(unknown)") ( + Connect("U3-20") Connect("U7-28") ) + Net("pa_gain_8" "(unknown)") + ( + Connect("C9-2") + Connect("U4-12") + Connect("U7-21") + ) + Net("pa_gain_16" "(unknown)") + ( + Connect("C6-2") + Connect("U4-13") + Connect("U7-22") + ) + Net("pa_power" "(unknown)") + ( + Connect("C17-2") + Connect("C18-2") + Connect("U4-6") + Connect("U7-46") + ) Net("radio_int" "(unknown)") ( + Connect("U3-3") Connect("U7-30") ) Net("radio_marc_int" "(unknown)") ( + Connect("U3-6") Connect("U7-31") ) Net("reset_n" "(unknown)") @@ -1540,10 +2070,12 @@ NetList() ) Net("sck1" "(unknown)") ( + Connect("J1-5") Connect("U7-15") ) Net("sck2" "(unknown)") ( + Connect("U3-1") Connect("U7-26") ) Net("swclk" "(unknown)") @@ -1705,49 +2237,143 @@ NetList() ) Net("unnamed_net32" "(unknown)") ( - Connect("U7-21") + Connect("R101-2") + Connect("U7-32") ) Net("unnamed_net33" "(unknown)") ( - Connect("U7-22") + Connect("U7-29") ) Net("unnamed_net34" "(unknown)") ( - Connect("R101-2") - Connect("U7-32") + Connect("R102-2") + Connect("U7-33") ) Net("unnamed_net35" "(unknown)") ( - Connect("U7-29") + Connect("U7-38") ) Net("unnamed_net36" "(unknown)") ( - Connect("R102-2") - Connect("U7-33") + Connect("U7-45") ) Net("unnamed_net37" "(unknown)") ( - Connect("U7-38") + Connect("U7-39") ) Net("unnamed_net38" "(unknown)") ( - Connect("U7-45") + Connect("U7-40") ) Net("unnamed_net39" "(unknown)") ( - Connect("U7-39") + Connect("U7-41") ) Net("unnamed_net40" "(unknown)") ( - Connect("U7-40") + Connect("J1-8") ) Net("unnamed_net41" "(unknown)") ( - Connect("U7-41") + Connect("J1-9") ) Net("unnamed_net42" "(unknown)") ( - Connect("U7-46") + Connect("J1-1") + ) + Net("unnamed_net43" "(unknown)") + ( + Connect("C1-1") + Connect("L1-1") + Connect("U3-13") + ) + Net("unnamed_net44" "(unknown)") + ( + Connect("C3-1") + Connect("L2-2") + Connect("U3-12") + ) + Net("unnamed_net45" "(unknown)") + ( + Connect("C13-2") + Connect("U3-8") + Connect("X1-3") + ) + Net("unnamed_net46" "(unknown)") + ( + Connect("C7-2") + Connect("U3-10") + Connect("X1-1") + ) + Net("unnamed_net47" "(unknown)") + ( + Connect("C2-2") + Connect("U3-5") + ) + Net("unnamed_net48" "(unknown)") + ( + Connect("R1-2") + Connect("U3-17") + ) + Net("unnamed_net49" "(unknown)") + ( + Connect("C15-2") + Connect("U4-3") + ) + Net("unnamed_net50" "(unknown)") + ( + Connect("C19-1") + Connect("L7-1") + Connect("L8-2") + Connect("U4-9") + Connect("U4-10") + ) + Net("unnamed_net51" "(unknown)") + ( + Connect("L6-1") + Connect("R3-1") + Connect("U4-14") + ) + Net("unnamed_net52" "(unknown)") + ( + Connect("C21-2") + Connect("C24-2") + Connect("J2-1") + ) + Net("unnamed_net53" "(unknown)") + ( + Connect("C21-1") + Connect("C22-2") + Connect("L7-2") + ) + Net("unnamed_net54" "(unknown)") + ( + Connect("C3-2") + Connect("L1-2") + Connect("L3-1") + ) + Net("unnamed_net55" "(unknown)") + ( + Connect("C12-2") + Connect("L3-2") + Connect("L4-1") + ) + Net("unnamed_net56" "(unknown)") + ( + Connect("C15-1") + Connect("C16-2") + Connect("L4-2") + ) + Net("unnamed_net57" "(unknown)") + ( + Connect("C14-2") + Connect("R3-2") + ) + Net("unnamed_net58" "(unknown)") + ( + Connect("C10-2") + Connect("L6-2") + Connect("R2-1") ) Net("usbdm" "(unknown)") ( @@ -1768,7 +2394,12 @@ NetList() ) Net("v_lipo" "(unknown)") ( + Connect("C20-1") + Connect("C23-1") + Connect("C25-1") Connect("C39-2") + Connect("L8-1") + Connect("R2-2") Connect("U1-1") Connect("U1-3") ) diff --git a/telegps.sch b/telegps.sch index b950cb8..f79d1f0 100644 --- a/telegps.sch +++ b/telegps.sch @@ -572,51 +572,50 @@ loadstatus=noload T 73600 47400 5 10 0 1 0 0 1 nobom=1 } -C 74700 44100 1 0 0 gnd.sym -N 74200 46600 74800 46600 4 +C 74700 45900 1 0 0 gnd.sym +N 76800 47600 77400 47600 4 N 74200 47600 74800 47600 4 -N 74800 44400 74800 47600 4 -C 73600 46400 1 0 0 hole_plated.sym +C 76200 47400 1 0 0 hole_plated.sym { -T 73700 48200 5 10 0 0 0 0 1 +T 76300 49200 5 10 0 0 0 0 1 device=HOLE_PLATED -T 73200 46600 5 10 1 1 0 0 1 +T 75800 47600 5 10 1 1 0 0 1 refdes=H2 -T 73600 46400 5 10 0 0 0 0 1 +T 76200 47400 5 10 0 0 0 0 1 footprint=hole-M3 -T 73600 46400 5 10 0 1 0 0 1 +T 76200 47400 5 10 0 1 0 0 1 loadstatus=noload -T 73600 46400 5 10 0 1 0 0 1 +T 76200 47400 5 10 0 1 0 0 1 nobom=1 } -C 73600 45500 1 0 0 hole_plated.sym +C 76200 46500 1 0 0 hole_plated.sym { -T 73700 47300 5 10 0 0 0 0 1 +T 76300 48300 5 10 0 0 0 0 1 device=HOLE_PLATED -T 73200 45700 5 10 1 1 0 0 1 +T 75800 46700 5 10 1 1 0 0 1 refdes=H3 -T 73600 45500 5 10 0 0 0 0 1 +T 76200 46500 5 10 0 0 0 0 1 footprint=hole-M3 -T 73600 45500 5 10 0 1 0 0 1 +T 76200 46500 5 10 0 1 0 0 1 loadstatus=noload -T 73600 45500 5 10 0 1 0 0 1 +T 76200 46500 5 10 0 1 0 0 1 nobom=1 } -C 73600 44500 1 0 0 hole_plated.sym +C 73600 46300 1 0 0 hole_plated.sym { -T 73700 46300 5 10 0 0 0 0 1 +T 73700 48100 5 10 0 0 0 0 1 device=HOLE_PLATED -T 73200 44700 5 10 1 1 0 0 1 +T 73200 46500 5 10 1 1 0 0 1 refdes=H4 -T 73600 44500 5 10 0 0 0 0 1 +T 73600 46300 5 10 0 0 0 0 1 footprint=hole-M3 -T 73600 44500 5 10 0 1 0 0 1 +T 73600 46300 5 10 0 1 0 0 1 loadstatus=noload -T 73600 44500 5 10 0 1 0 0 1 +T 73600 46300 5 10 0 1 0 0 1 nobom=1 } -N 74200 45700 74800 45700 4 -N 74200 44700 74800 44700 4 +N 76800 46700 77400 46700 4 +N 74200 46500 74800 46500 4 T 73300 48200 9 10 1 0 0 0 2 mounting holes for 4-40 screws @@ -998,13 +997,6 @@ value=NoConnection T 51700 62800 5 10 0 0 0 0 1 device=DRC_Directive } -C 51700 60400 1 0 0 nc-left-1.sym -{ -T 51700 60800 5 10 0 0 0 0 1 -value=NoConnection -T 51700 61200 5 10 0 0 0 0 1 -device=DRC_Directive -} C 51700 67200 1 0 0 nc-left-1.sym { T 51700 67600 5 10 0 0 0 0 1 @@ -1033,20 +1025,6 @@ value=NoConnection T 51700 64400 5 10 0 0 0 0 1 device=DRC_Directive } -C 51700 60000 1 0 0 nc-left-1.sym -{ -T 51700 60400 5 10 0 0 0 0 1 -value=NoConnection -T 51700 60800 5 10 0 0 0 0 1 -device=DRC_Directive -} -C 51700 59600 1 0 0 nc-left-1.sym -{ -T 51700 60000 5 10 0 0 0 0 1 -value=NoConnection -T 51700 60400 5 10 0 0 0 0 1 -device=DRC_Directive -} C 65700 72400 1 0 0 nc-left-1.sym { T 65700 72800 5 10 0 0 0 0 1 @@ -1232,37 +1210,610 @@ T 44705 53595 5 10 1 1 0 6 1 value=microSD T 46000 49900 5 10 0 0 0 0 1 footprint=microSD +T 46000 49900 5 10 0 1 0 0 1 +vendor=digikey +T 46000 49900 5 10 0 1 0 0 1 +vendor_part_number=101-00303-68-1-ND +T 46000 49900 5 10 0 1 0 0 1 +loadstatus=smt } -C 66600 56700 1 0 0 cc115l.sym +C 65000 57000 1 0 0 cc115l.sym { -T 89095 110695 5 10 0 1 0 0 1 +T 87495 110995 5 10 0 1 0 0 1 device=IC -T 68495 59695 5 10 1 1 0 0 1 +T 66895 59995 5 10 1 1 0 0 1 value=CC115L -T 90995 113695 5 10 0 1 0 0 1 +T 89395 113995 5 10 0 1 0 0 1 footprint=ti-qfn20 -T 67100 61800 5 10 1 1 0 0 1 +T 65500 62100 5 10 1 1 0 0 1 refdes=U3 } -C 74500 57700 1 0 0 rfpa0133.sym +C 75500 58000 1 0 0 rfpa0133.sym { -T 75000 61800 5 10 1 1 0 0 1 +T 76000 62100 5 10 1 1 0 0 1 refdes=U4 -T 74500 57700 5 10 0 1 0 0 1 +T 75500 58000 5 10 0 1 0 0 1 device=IC -T 76000 59400 5 10 1 1 0 0 1 +T 77000 59700 5 10 1 1 0 0 1 value=RFPA0133 -T 74500 57700 5 10 0 1 0 0 1 +T 75500 58000 5 10 0 1 0 0 1 loadstatus=smt -T 74500 57700 5 10 0 0 0 0 1 +T 75500 58000 5 10 0 0 0 0 1 footprint=qfn-16 } -C 83000 59900 1 0 0 conn-2.sym +C 85000 60500 1 0 0 conn-2.sym { -T 83305 60545 5 10 1 1 0 0 1 +T 85305 61145 5 10 1 1 0 0 1 refdes=J2 -T 83000 59900 5 10 0 0 0 0 1 +T 85000 60500 5 10 0 0 0 0 1 footprint=sma-edge -T 83300 59700 5 10 1 1 0 0 1 +T 85300 60300 5 10 1 1 0 0 1 value=SMA } +C 84700 60100 1 90 0 capacitor.sym +{ +T 84000 60300 5 10 0 0 90 0 1 +device=CAPACITOR +T 84200 60300 5 10 1 1 90 0 1 +refdes=C24 +T 83800 60300 5 10 0 0 90 0 1 +symversion=0.1 +T 84700 60100 5 10 0 0 0 0 1 +footprint=0402 +} +C 83200 60100 1 90 0 capacitor.sym +{ +T 82500 60300 5 10 0 0 90 0 1 +device=CAPACITOR +T 82700 60300 5 10 1 1 90 0 1 +refdes=C22 +T 82300 60300 5 10 0 0 90 0 1 +symversion=0.1 +T 83200 60100 5 10 0 0 0 0 1 +footprint=0402 +} +C 83300 60800 1 0 0 capacitor.sym +{ +T 83500 61500 5 10 0 0 0 0 1 +device=CAPACITOR +T 83500 61300 5 10 1 1 0 0 1 +refdes=C21 +T 83500 61700 5 10 0 0 0 0 1 +symversion=0.1 +T 83300 60800 5 10 0 0 0 0 1 +footprint=0402 +} +C 81500 59300 1 0 0 capacitor.sym +{ +T 81700 60000 5 10 0 0 0 0 1 +device=CAPACITOR +T 81700 59800 5 10 1 1 0 0 1 +refdes=C20 +T 81700 60200 5 10 0 0 0 0 1 +symversion=0.1 +T 81500 59300 5 10 0 0 0 0 1 +footprint=0402 +} +C 81500 58300 1 0 0 capacitor.sym +{ +T 81700 59000 5 10 0 0 0 0 1 +device=CAPACITOR +T 81700 58800 5 10 1 1 0 0 1 +refdes=C23 +T 81700 59200 5 10 0 0 0 0 1 +symversion=0.1 +T 81500 58300 5 10 0 0 0 0 1 +footprint=0402 +} +C 81500 57300 1 0 0 capacitor.sym +{ +T 81700 58000 5 10 0 0 0 0 1 +device=CAPACITOR +T 81700 57800 5 10 1 1 0 0 1 +refdes=C25 +T 81700 58200 5 10 0 0 0 0 1 +symversion=0.1 +T 81500 57300 5 10 0 0 0 0 1 +footprint=0402 +} +C 80300 61000 1 270 0 capacitor.sym +{ +T 81000 60800 5 10 0 0 270 0 1 +device=CAPACITOR +T 80800 60800 5 10 1 1 270 0 1 +refdes=C19 +T 81200 60800 5 10 0 0 270 0 1 +symversion=0.1 +T 80300 61000 5 10 0 0 0 0 1 +footprint=0402 +} +C 81800 60900 1 0 0 inductor.sym +{ +T 82000 61400 5 10 0 0 0 0 1 +device=INDUCTOR +T 82000 61200 5 10 1 1 0 0 1 +refdes=L7 +T 82000 61600 5 10 0 0 0 0 1 +symversion=0.1 +T 81800 60900 5 10 0 0 0 0 1 +footprint=0402 +} +C 81600 59800 1 90 0 inductor.sym +{ +T 81100 60000 5 10 0 0 90 0 1 +device=INDUCTOR +T 81300 60000 5 10 1 1 90 0 1 +refdes=L8 +T 80900 60000 5 10 0 0 90 0 1 +symversion=0.1 +T 81600 59800 5 10 0 0 0 0 1 +footprint=0402 +} +C 84900 60300 1 0 0 gnd.sym +N 84200 61000 85000 61000 4 +N 83300 61000 82700 61000 4 +N 81800 61000 80000 61000 4 +N 81500 61000 81500 60700 4 +N 81500 56500 81500 59800 4 +{ +T 81300 56200 5 10 1 1 0 0 1 +netname=v_lipo +} +C 82700 59400 1 90 0 gnd.sym +C 82700 58400 1 90 0 gnd.sym +C 82700 57400 1 90 0 gnd.sym +C 80400 59800 1 0 0 gnd.sym +C 82900 59800 1 0 0 gnd.sym +C 84400 59800 1 0 0 gnd.sym +C 70300 60900 1 0 0 inductor.sym +{ +T 70500 61400 5 10 0 0 0 0 1 +device=INDUCTOR +T 70500 61200 5 10 1 1 0 0 1 +refdes=L1 +T 70500 61600 5 10 0 0 0 0 1 +symversion=0.1 +T 70300 60900 5 10 0 0 0 0 1 +footprint=0402 +} +C 71800 60400 1 0 0 inductor.sym +{ +T 72000 60900 5 10 0 0 0 0 1 +device=INDUCTOR +T 72000 60700 5 10 1 1 0 0 1 +refdes=L3 +T 72000 61100 5 10 0 0 0 0 1 +symversion=0.1 +T 71800 60400 5 10 0 0 0 0 1 +footprint=0402 +} +C 73300 60400 1 0 0 inductor.sym +{ +T 73500 60900 5 10 0 0 0 0 1 +device=INDUCTOR +T 73500 60700 5 10 1 1 0 0 1 +refdes=L4 +T 73500 61100 5 10 0 0 0 0 1 +symversion=0.1 +T 73300 60400 5 10 0 0 0 0 1 +footprint=0402 +} +C 70100 59400 1 90 0 inductor.sym +{ +T 69600 59600 5 10 0 0 90 0 1 +device=INDUCTOR +T 69800 59600 5 10 1 1 90 0 1 +refdes=L2 +T 69400 59600 5 10 0 0 90 0 1 +symversion=0.1 +T 70100 59400 5 10 0 0 0 0 1 +footprint=0402 +} +C 70300 60300 1 0 0 capacitor.sym +{ +T 70500 61000 5 10 0 0 0 0 1 +device=CAPACITOR +T 70500 60800 5 10 1 1 0 0 1 +refdes=C3 +T 70500 61200 5 10 0 0 0 0 1 +symversion=0.1 +T 70300 60300 5 10 0 0 0 0 1 +footprint=0402 +} +C 73200 59300 1 90 0 capacitor.sym +{ +T 72500 59500 5 10 0 0 90 0 1 +device=CAPACITOR +T 72700 59500 5 10 1 1 90 0 1 +refdes=C12 +T 72300 59500 5 10 0 0 90 0 1 +symversion=0.1 +T 73200 59300 5 10 0 0 0 0 1 +footprint=0402 +} +C 74700 59300 1 90 0 capacitor.sym +{ +T 74000 59500 5 10 0 0 90 0 1 +device=CAPACITOR +T 74200 59500 5 10 1 1 90 0 1 +refdes=C16 +T 73800 59500 5 10 0 0 90 0 1 +symversion=0.1 +T 74700 59300 5 10 0 0 0 0 1 +footprint=0402 +} +C 70200 61300 1 90 0 capacitor.sym +{ +T 69500 61500 5 10 0 0 90 0 1 +device=CAPACITOR +T 69700 61500 5 10 1 1 90 0 1 +refdes=C1 +T 69300 61500 5 10 0 0 90 0 1 +symversion=0.1 +T 70200 61300 5 10 0 0 0 0 1 +footprint=0402 +} +C 74600 60300 1 0 0 capacitor.sym +{ +T 74800 61000 5 10 0 0 0 0 1 +device=CAPACITOR +T 74800 60800 5 10 1 1 0 0 1 +refdes=C15 +T 74800 61200 5 10 0 0 0 0 1 +symversion=0.1 +T 74600 60300 5 10 0 0 0 0 1 +footprint=0402 +} +C 74400 59000 1 0 0 gnd.sym +C 72900 59000 1 0 0 gnd.sym +C 69900 59100 1 0 0 gnd.sym +C 70100 62500 1 180 0 gnd.sym +N 69500 61000 70300 61000 4 +N 70000 61300 70000 61000 4 +N 69500 60500 70300 60500 4 +N 70000 60500 70000 60300 4 +N 71200 60500 71800 60500 4 +N 71500 60500 71500 61000 4 +N 71500 61000 71200 61000 4 +N 72700 60500 73300 60500 4 +N 73000 60500 73000 60200 4 +N 74200 60500 74600 60500 4 +N 74500 60500 74500 60200 4 +C 69700 57100 1 90 0 capacitor.sym +{ +T 69000 57300 5 10 0 0 90 0 1 +device=CAPACITOR +T 69200 57300 5 10 1 1 90 0 1 +refdes=C7 +T 68800 57300 5 10 0 0 90 0 1 +symversion=0.1 +T 69700 57100 5 10 0 0 0 0 1 +footprint=0402 +} +C 71200 57100 1 90 0 capacitor.sym +{ +T 70500 57300 5 10 0 0 90 0 1 +device=CAPACITOR +T 70700 57300 5 10 1 1 90 0 1 +refdes=C13 +T 70300 57300 5 10 0 0 90 0 1 +symversion=0.1 +T 71200 57100 5 10 0 0 0 0 1 +footprint=0402 +} +N 69500 58500 69500 58000 4 +N 69500 58000 70000 58000 4 +N 69500 59000 71000 59000 4 +N 71000 59000 71000 58000 4 +N 71000 58000 70700 58000 4 +N 70700 57600 70700 57100 4 +N 70700 57100 71000 57100 4 +N 70000 57600 70000 57100 4 +N 70000 57100 69500 57100 4 +C 69400 56800 1 0 0 gnd.sym +C 70900 56800 1 0 0 gnd.sym +C 66600 56100 1 90 0 resistor.sym +{ +T 66200 56400 5 10 0 0 90 0 1 +device=RESISTOR +T 66300 56300 5 10 1 1 90 0 1 +refdes=R1 +T 66600 56100 5 10 0 0 0 0 1 +footprint=0402 +} +C 66400 55800 1 0 0 gnd.sym +C 66200 56100 1 90 0 capacitor.sym +{ +T 65500 56300 5 10 0 0 90 0 1 +device=CAPACITOR +T 65700 56300 5 10 1 1 90 0 1 +refdes=C2 +T 65300 56300 5 10 0 0 90 0 1 +symversion=0.1 +T 66200 56100 5 10 0 0 0 0 1 +footprint=0402 +} +C 65900 55800 1 0 0 gnd.sym +C 67900 56700 1 0 0 gnd.sym +N 68500 57000 67500 57000 4 +N 68500 62500 66000 62500 4 +C 67100 62500 1 0 0 3.3V-plus.sym +N 64000 60500 65000 60500 4 +{ +T 64000 60500 5 10 1 1 0 0 1 +netname=miso2 +} +N 64000 61000 65000 61000 4 +{ +T 64000 61000 5 10 1 1 0 0 1 +netname=mosi2 +} +N 64000 60000 65000 60000 4 +{ +T 64000 60000 5 10 1 1 0 0 1 +netname=sck2 +} +N 64000 59500 65000 59500 4 +{ +T 64000 59500 5 10 1 1 0 0 1 +netname=cs_radio +} +N 64000 59000 65000 59000 4 +{ +T 64000 59000 5 10 1 1 0 0 1 +netname=radio_int +} +N 64000 58500 65000 58500 4 +{ +T 64000 58500 5 10 1 1 0 0 1 +netname=radio_marc_int +} +C 76100 62800 1 0 0 capacitor.sym +{ +T 76300 63500 5 10 0 0 0 0 1 +device=CAPACITOR +T 76300 63300 5 10 1 1 0 0 1 +refdes=C11 +T 76300 63700 5 10 0 0 0 0 1 +symversion=0.1 +T 76100 62800 5 10 0 0 0 0 1 +footprint=0402 +} +C 76100 63800 1 0 0 capacitor.sym +{ +T 76300 64500 5 10 0 0 0 0 1 +device=CAPACITOR +T 76300 64300 5 10 1 1 0 0 1 +refdes=C8 +T 76300 64700 5 10 0 0 0 0 1 +symversion=0.1 +T 76100 63800 5 10 0 0 0 0 1 +footprint=0402 +} +C 74100 57800 1 0 0 capacitor.sym +{ +T 74300 58500 5 10 0 0 0 0 1 +device=CAPACITOR +T 74300 58300 5 10 1 1 0 0 1 +refdes=C17 +T 74300 58700 5 10 0 0 0 0 1 +symversion=0.1 +T 74100 57800 5 10 0 0 0 0 1 +footprint=0402 +} +C 74100 56800 1 0 0 capacitor.sym +{ +T 74300 57500 5 10 0 0 0 0 1 +device=CAPACITOR +T 74300 57300 5 10 1 1 0 0 1 +refdes=C18 +T 74300 57700 5 10 0 0 0 0 1 +symversion=0.1 +T 74100 56800 5 10 0 0 0 0 1 +footprint=0402 +} +N 75500 60000 75000 60000 4 +N 75000 60000 75000 56000 4 +{ +T 74600 55700 5 10 1 1 0 0 1 +netname=pa_power +} +N 77000 62500 77000 65000 4 +C 76800 65000 1 0 0 3.3V-plus.sym +C 75800 64100 1 270 0 gnd.sym +C 75800 63100 1 270 0 gnd.sym +C 73800 58100 1 270 0 gnd.sym +C 73800 57100 1 270 0 gnd.sym +N 80000 60000 80000 58500 4 +N 75500 58500 80000 58500 4 +N 75500 59500 75500 58500 4 +C 77700 58200 1 0 0 gnd.sym +C 74100 61300 1 0 0 capacitor.sym +{ +T 74300 62000 5 10 0 0 0 0 1 +device=CAPACITOR +T 74300 61800 5 10 1 1 0 0 1 +refdes=C9 +T 74300 62200 5 10 0 0 0 0 1 +symversion=0.1 +T 74100 61300 5 10 0 0 0 0 1 +footprint=0402 +} +C 72600 61300 1 0 0 capacitor.sym +{ +T 72800 62000 5 10 0 0 0 0 1 +device=CAPACITOR +T 72800 61800 5 10 1 1 0 0 1 +refdes=C6 +T 72800 62200 5 10 0 0 0 0 1 +symversion=0.1 +T 72600 61300 5 10 0 0 0 0 1 +footprint=0402 +} +C 77800 63800 1 0 0 capacitor.sym +{ +T 78000 64500 5 10 0 0 0 0 1 +device=CAPACITOR +T 78000 64300 5 10 1 1 0 0 1 +refdes=C14 +T 78000 64700 5 10 0 0 0 0 1 +symversion=0.1 +T 77800 63800 5 10 0 0 0 0 1 +footprint=0402 +} +C 77800 64800 1 0 0 capacitor.sym +{ +T 78000 65500 5 10 0 0 0 0 1 +device=CAPACITOR +T 78000 65300 5 10 1 1 0 0 1 +refdes=C10 +T 78000 65700 5 10 0 0 0 0 1 +symversion=0.1 +T 77800 64800 5 10 0 0 0 0 1 +footprint=0402 +} +C 79100 62800 1 90 0 inductor.sym +{ +T 78600 63000 5 10 0 0 90 0 1 +device=INDUCTOR +T 78800 63000 5 10 1 1 90 0 1 +refdes=L6 +T 78400 63000 5 10 0 0 90 0 1 +symversion=0.1 +T 79100 62800 5 10 0 0 0 0 1 +footprint=0402 +} +C 79600 62800 1 90 0 resistor.sym +{ +T 79200 63100 5 10 0 0 90 0 1 +device=RESISTOR +T 79300 63000 5 10 1 1 90 0 1 +refdes=R3 +T 79600 62800 5 10 0 0 0 0 1 +footprint=0402 +} +C 79400 64900 1 0 0 resistor.sym +{ +T 79700 65300 5 10 0 0 0 0 1 +device=RESISTOR +T 79600 65200 5 10 1 1 0 0 1 +refdes=R2 +T 79400 64900 5 10 0 0 0 0 1 +footprint=0402 +} +N 78000 62500 79500 62500 4 +N 79500 62500 79500 62800 4 +N 79000 62500 79000 62800 4 +N 79000 63700 79000 65000 4 +N 78700 65000 79400 65000 4 +N 78700 64000 79500 64000 4 +N 79500 64000 79500 63700 4 +C 77500 65100 1 270 0 gnd.sym +C 77500 64100 1 270 0 gnd.sym +N 80300 65000 81000 65000 4 +{ +T 81000 65100 5 10 1 1 0 6 1 +netname=v_lipo +} +N 75500 61500 75000 61500 4 +N 75500 61000 73500 61000 4 +N 73500 61000 73500 62500 4 +{ +T 73100 62600 5 10 1 1 0 0 1 +netname=pa_gain_16 +} +N 75000 61500 75000 62500 4 +{ +T 74600 62600 5 10 1 1 0 0 1 +netname=pa_gain_8 +} +C 73800 61600 1 270 0 gnd.sym +C 72300 61600 1 270 0 gnd.sym +C 70000 57600 1 0 0 ABM8.sym +{ +T 70200 58100 5 10 0 0 0 0 1 +device=CRYSTAL +T 69900 58200 5 10 1 1 0 0 1 +refdes=X1 +T 70200 58300 5 10 0 0 0 0 1 +symversion=0.1 +T 70300 58200 5 10 1 1 0 0 1 +value=26mhz +T 70000 57600 5 10 0 0 0 0 1 +vendor_part_number=887-1327-1-ND +T 70000 57600 5 10 0 0 0 0 1 +footprint=ABM8 +T 70000 57600 5 10 0 0 0 0 1 +vendor=digikey +T 70000 57600 5 10 0 1 0 0 1 +loadstatus=smt +} +N 50900 60100 52200 60100 4 +{ +T 50900 60200 5 10 1 1 0 0 1 +netname=pa_gain_8 +} +N 50900 59700 52200 59700 4 +{ +T 50900 59800 5 10 1 1 0 0 1 +netname=pa_gain_16 +} +N 50900 60500 52200 60500 4 +{ +T 50900 60600 5 10 1 1 0 0 1 +netname=pa_power +} +N 46500 54000 46500 52100 4 +N 46500 52100 46000 52100 4 +C 46300 54000 1 0 0 3.3V-plus.sym +N 47200 52900 46000 52900 4 +{ +T 47200 53000 5 10 1 1 0 6 1 +netname=cs_flash +} +N 46000 52500 47200 52500 4 +{ +T 47200 52600 5 10 1 1 0 6 1 +netname=mosi1 +} +N 47200 51700 46000 51700 4 +{ +T 47200 51800 5 10 1 1 0 6 1 +netname=sck1 +} +N 46500 49500 46500 51300 4 +N 46500 51300 46000 51300 4 +N 47200 50900 46000 50900 4 +{ +T 47200 51000 5 10 1 1 0 6 1 +netname=miso1 +} +C 46400 49200 1 0 0 gnd.sym +N 80000 60500 80000 61000 4 +C 46000 53200 1 0 0 nc-right-1.sym +{ +T 46100 53700 5 10 0 0 0 0 1 +value=NoConnection +T 46100 53900 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 46000 50400 1 0 0 nc-right-1.sym +{ +T 46100 50900 5 10 0 0 0 0 1 +value=NoConnection +T 46100 51100 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 46000 50000 1 0 0 nc-right-1.sym +{ +T 46100 50500 5 10 0 0 0 0 1 +value=NoConnection +T 46100 50700 5 10 0 0 0 0 1 +device=DRC_Directive +} +N 74800 47600 74800 46200 4 +N 77400 47600 77400 45900 4 +{ +T 77100 45600 5 10 1 1 0 0 1 +netname=gnd_rf +} -- 2.30.2