From: Keith Packard Date: Thu, 20 Dec 2012 04:50:07 +0000 (-0800) Subject: Add RF components. Hook up microSD card. X-Git-Tag: fab-v0.1~53 X-Git-Url: https://git.gag.com/?p=hw%2Ftelegps;a=commitdiff_plain;h=a152143913257e0ce428842a39d3763f8b5848ac Add RF components. Hook up microSD card. This is getting close to a complete set of parts at least Signed-off-by: Keith Packard --- diff --git a/datasheets/microsd.pdf b/datasheets/microsd.pdf new file mode 100644 index 0000000..7953041 Binary files /dev/null and b/datasheets/microsd.pdf differ diff --git a/packages/ABM8.fp b/packages/ABM8.fp new file mode 100644 index 0000000..f58c193 --- /dev/null +++ b/packages/ABM8.fp @@ -0,0 +1,12 @@ +# author: Bdale Garbee +# email: bdale@gag.com +# dist-license: GPL 2 +# use-license: unlimited +Element[0x0 "ABM8" "" "" 0 0 0 0 0 100 0x0] +( + Pad[ 3642 -4034 3642 -5019 4134 -983 4734 "pin2" "2" 0x0100] + Pad[ 3642 4035 3642 5020 4134 -983 4734 "pin1" "1" 0x0100] + Pad[ -3641 4035 -3641 5020 4134 -983 4734 "pin4" "4" 0x0100] + Pad[ -3641 -4034 -3641 -5019 4134 -983 4734 "pin3" "3" 0x0100] + ElementArc[ 630 6063 500 500 0 360 1000 ] +) diff --git a/packages/ABM8.py b/packages/ABM8.py new file mode 100755 index 0000000..f279ae8 --- /dev/null +++ b/packages/ABM8.py @@ -0,0 +1,71 @@ +#!/usr/bin/python +# Copyright 2007 by Bdale Garbee . GPLv2 +# +# Program to emit PCB footprint for ABM8 package used by Xtals +# + +# dimensions in mm from abm8.pdf Abracon datasheet +PinHeight = 1.30 +PinWidth = 1.05 +HSpacing = 1.00 +WSpacing = 0.8 + +import sys + +# we're going to use the 1/100 of a mil fundamental unit form +def mm2mils100( mm ): + return int( mm / 25.4 * 1000.0 * 100.0 + 0.5 ) + +print '# author: Bdale Garbee' +print '# email: bdale@gag.com' +print '# dist-license: GPL 2' +print '# use-license: unlimited' + +print 'Element[0x0 "ABM8" "" "" 0 0 0 0 0 100 0x0]' +print "(" +print ' Pad[',\ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(-(HSpacing/2 + PinWidth/2)), \ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(-(HSpacing/2 + PinHeight - PinWidth/2)), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin2" "2" 0x0100]' + +print ' Pad[',\ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(HSpacing/2 + PinWidth/2), \ + mm2mils100(WSpacing/2 + PinWidth/2), \ + mm2mils100(HSpacing/2 + PinHeight - PinWidth/2), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin1" "1" 0x0100]' + +print ' Pad[',\ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(HSpacing/2 + PinWidth/2), \ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(HSpacing/2 + PinHeight - PinWidth/2), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin4" "4" 0x0100]' + +print ' Pad[',\ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(-(HSpacing/2 + PinWidth/2)), \ + mm2mils100(-(WSpacing/2 + PinWidth/2)), \ + mm2mils100(-(HSpacing/2 + PinHeight - PinWidth/2)), \ + mm2mils100(PinWidth), \ + mm2mils100(WSpacing - PinWidth), \ + mm2mils100(PinWidth)+600, \ + '"pin3" "3" 0x0100]' + +print ' ElementArc[',\ + mm2mils100(WSpacing*0.2), \ + mm2mils100(HSpacing/2+PinHeight*0.8), \ + '500 500 0 360 1000 ]' + +print ")" diff --git a/packages/ap.10g.5c b/packages/ap.10g.5c index cc3731b..b3fa11a 100644 --- a/packages/ap.10g.5c +++ b/packages/ap.10g.5c @@ -55,7 +55,9 @@ real line_clear = feed_clear; /* Draw a line at the edge of the board for alignment */ -line(-hole_x, -edge_y, hole_x, -edge_y); +real mark_y = -edge_y + mils1002mm(line_thickness) / 2; + +line(-hole_x, mark_y, hole_x, mark_y); /* alignment holes */ pin_mm_clear(-hole_x, -hole_y, hole_diameter, .01, 0, "GND", "GND"); diff --git a/packages/ap.10g.fp b/packages/ap.10g.fp index e688df0..c87dfcb 100644 --- a/packages/ap.10g.fp +++ b/packages/ap.10g.fp @@ -4,7 +4,7 @@ # use-license: unlimited Element ["" "ap.10g" "" "" 0 0 0 0 0 100 ""] ( - ElementLine[ -19094 -23031 19094 -23031 1000] + ElementLine[ -19094 -22531 19094 -22531 1000] Pin[ -19094 -15551 5984 0 5984 5906 "GND" "GND" ""] Pin[ 19094 -15551 5984 0 5984 5906 "GND" "GND" ""] Pad[ -19705 -6988 -19705 -3642 4921 0 4921 "GND" "GND" "square"] diff --git a/packages/footprint.5c b/packages/footprint.5c index fa03e60..7d6fdcb 100644 --- a/packages/footprint.5c +++ b/packages/footprint.5c @@ -22,6 +22,10 @@ namespace Footprint { public int mm2mils100(real mm) = floor (mm / 25.4 * 1000 * 100 + 0.5); + public real mils1002mm(real mils100) = mils100 * 25.4 / 100 / 1000; + + public int line_thickness = 1000; + public void element_start(string name) { printf ("# author: Keith Packard\n"); printf ("# email: keithp@keithp.com\n"); @@ -134,7 +138,7 @@ namespace Footprint { mm2mils100(y1), mm2mils100(x2), mm2mils100(y2)); - printf (" 1000]\n"); + printf (" %d]\n", line_thickness); } public void rect (real x, real y, real w, real h) diff --git a/partslist.dk b/partslist.dk index 290ced1..735958a 100644 --- a/partslist.dk +++ b/partslist.dk @@ -7,7 +7,9 @@ 2, 490-5408-1-ND, CAPACITOR 4.7uF 1, A99472CT-ND, CONNECTOR Debug 1, A100034-ND, CONNECTOR LiPo +1, 101-00303-68-1-ND, CONNECTOR microSD 1, H11634CT-ND, CONNECTOR USBmicroB +1, 887-1327-1-ND, CRYSTAL 26mhz 1, 535-9721-1-ND, CRYSTAL 8mhz 1, MCP130T-300I/TTCT-ND, IC MCP130T-300 1, TC2185-3.3VCCT-ND, IC TC2185-3.3 diff --git a/symbols/rfpa0133.sym b/symbols/rfpa0133.sym index 934d31e..0aa5a71 100644 --- a/symbols/rfpa0133.sym +++ b/symbols/rfpa0133.sym @@ -27,7 +27,7 @@ L 2300 2500 2500 2500 3 0 0 0 -1 -1 P 4500 3000 4000 3000 1 0 0 { T 4500 3000 5 10 0 0 0 0 1 -pintype=out +pintype=pas T 3945 2995 5 10 1 1 0 6 1 pinlabel=RF OUT/VCC2 T 4095 3045 5 10 1 1 0 0 1 @@ -38,7 +38,7 @@ pinseq=9 P 4500 2500 4000 2500 1 0 0 { T 4500 2500 5 10 0 0 0 0 1 -pintype=out +pintype=pas T 3945 2495 5 10 1 1 0 6 1 pinlabel=RF_OUT/VCC2 T 4095 2545 5 10 1 1 0 0 1 diff --git a/telegps.pcb b/telegps.pcb index aa73314..61ad12b 100644 --- a/telegps.pcb +++ b/telegps.pcb @@ -3,14 +3,14 @@ # To read pcb files, the pcb version (or the git source date) must be >= the file version FileVersion[20091103] -PCB["TeleLco" 2500.00mil 2000.00mil] +PCB["TeleGPS" 2500.00mil 2000.00mil] -Grid[100.000000 0.0000 0.0000 0] -Cursor[67.00mil 306.00mil 0.000000] +Grid[500.000000 0.0000 0.0000 0] +Cursor[0.0000 0.0000 0.000000] PolyArea[200000000.000000] Thermal[0.500000] DRC[5.00mil 10.00mil 5.00mil 5.00mil 15.00mil 6.50mil] -Flags("showdrc,nameonpcb,clearnew,snappin") +Flags("showdrc,nameonpcb,clearnew,snappin,orthomove") Groups("1,c:2:3:4,s:5") Styles["Signal,10.00mil,30.00mil,15.00mil,10.00mil:Power,25.00mil,60.00mil,35.00mil,10.00mil:Fat,40.00mil,240.00mil,236.00mil,10.00mil:Skinny,6.00mil,24.02mil,11.81mil,6.00mil"] @@ -811,7 +811,7 @@ Symbol['~' 12.00mil] SymbolLine[20.00mil 35.00mil 25.00mil 30.00mil 8.00mil] ) Attribute("PCB::grid::unit" "mil") -Attribute("PCB::grid::size" "1.00mil") +Attribute("PCB::grid::size" "5.00mil") Via[14.55mil 600.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] Via[115.00mil 600.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] Via[114.55mil 400.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] @@ -819,7 +819,7 @@ Via[14.55mil 400.00mil 30.00mil 20.00mil 0.0000 15.00mil "" "thermal(3S)"] Element["" "B2B-PH" "B1" "LiPo" 833.76mil 988.77mil -4.07mil -295.49mil 0 100 ""] ( - Pin[2.8001mm -76.77mil 51.18mil 12.01mil 57.48mil 29.53mil "-" "2" "thermal(3X)"] + Pin[2.8001mm -76.77mil 51.18mil 12.01mil 57.48mil 29.53mil "-" "2" "thermal(2X,3X)"] Pin[2.8001mm -155.51mil 51.18mil 12.01mil 57.48mil 29.53mil "+" "1" "square"] ElementLine [0.0000 0.0000 177.17mil 0.0000 6.00mil] ElementLine [177.17mil -232.28mil 177.17mil 0.0000 6.00mil] @@ -944,21 +944,21 @@ Element["" "SOT23" "U11" "MCP130T-300" 296.00mil 921.00mil -119.00mil -118.00mil ) -Element["" "0402" "C36" "0.1uF" 49.5742mm 1655.00mil -14.46mil -73.50mil 0 100 ""] +Element["" "0402" "C36" "0.1uF" 49.5742mm 1390.00mil -14.46mil -73.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "C601" "1uF" 19.6530mm 1628.00mil -1.5189mm -0.5593mm 3 100 ""] +Element["" "0402" "C601" "1uF" 19.6530mm 1415.00mil -1.5189mm -0.5593mm 3 100 ""] ( Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "C602" "0.1uF" 29.6230mm 1640.00mil -85.28mil -77.46mil 0 100 ""] +Element["" "0402" "C602" "0.1uF" 29.6230mm 1390.00mil -85.28mil -77.46mil 0 100 ""] ( Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -972,72 +972,72 @@ Element["" "0402" "L600" "bead" 36.8620mm 1454.00mil 29.76mil -148.72mil 3 100 " ) -Element["" "ufqfpn48" "U7" "unknown" 1845.00mil 232.00mil -149.00mil -232.00mil 0 100 ""] -( - Pad[127.95mil 108.27mil 137.80mil 108.27mil 11.81mil 23.62mil 23.62mil "VLCD" "1" "square,edge2"] - Pad[108.27mil -137.80mil 108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "PA3/USART2_RX/ADC_IN3/TIM2_CH4/TIM9_CH2" "13" "square"] - Pad[-137.80mil -108.27mil -127.95mil -108.27mil 11.81mil 23.62mil 23.62mil "PB12/SPI2_NSS/I2C2_SMBA/USART3_CKI/ADC_IN18/TIM10_CH1" "25" "square"] - Pad[-108.27mil 127.95mil -108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "PA14/JTCK/SWCLK" "37" "square,edge2"] - Pad[127.95mil 88.58mil 137.80mil 88.58mil 11.81mil 23.62mil 23.62mil "PC13/RTC_AF1/WKUP2" "2" "square,edge2"] - Pad[88.58mil -137.80mil 88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "PA4/SPI1_NSS/USART2_CK/ADC_IN4/DAC_OUT1" "14" "square"] - Pad[-137.80mil -88.58mil -127.95mil -88.58mil 11.81mil 23.62mil 23.62mil "PB13/SPI2_SCK/USART3_CTS/ADC_IN19/TIM9_CH1" "26" "square"] - Pad[-88.58mil 127.95mil -88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "PA15/JTDI/TIM2_CH1_ETR/SPI1_NSS" "38" "square,edge2"] - Pad[127.95mil 68.90mil 137.80mil 68.90mil 11.81mil 23.62mil 23.62mil "PC14/OSC32_IN" "3" "square,edge2"] - Pad[68.90mil -137.80mil 68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PA5/SPI1_SCK/ADC_IN5/DAC_OUT2/TIM2_CH1_ETR" "15" "square"] - Pad[-137.80mil -68.90mil -127.95mil -68.90mil 11.81mil 23.62mil 23.62mil "PB14/SPI2_MISO/USART3_RTS/ADC_IN20/TIM9_CH2" "27" "square"] - Pad[-68.90mil 127.95mil -68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PB3/JTDO/TIM2_CH2/TRACESWO/SPI1_SCK" "39" "square,edge2"] - Pad[127.95mil 49.21mil 137.80mil 49.21mil 11.81mil 23.62mil 23.62mil "PC15/OSC32_OUT" "4" "square,edge2"] - Pad[49.21mil -137.80mil 49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PA6/SPI1_MISO_ADC_IN6/TIM3_CH1/TIM10_CH1" "16" "square"] - Pad[-137.80mil -49.21mil -127.95mil -49.21mil 11.81mil 23.62mil 23.62mil "PB15/SPI2_MOSI/ADC_IN21/TIM11_CH1/RTC_50_60HZ" "28" "square"] - Pad[-49.21mil 127.95mil -49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "PB4/JNTRSTSPI1_MISO/TIM3_CH1" "40" "square,edge2"] - Pad[127.95mil 29.53mil 137.80mil 29.53mil 11.81mil 23.62mil 23.62mil "PH0/OSC_IN" "5" "square,edge2"] - Pad[29.53mil -137.80mil 29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PA7/SPI1_MOSI/ADC_IN7/TIM3_CH2/TIM11_CH1" "17" "square"] - Pad[-137.80mil -29.53mil -127.95mil -29.53mil 11.81mil 23.62mil 23.62mil "PA8/USART1_CK/MCO" "29" "square"] - Pad[-29.53mil 127.95mil -29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "PB5/I2C1_SMBA/TIM3_CH2/SPI1_MOSI" "41" "square,edge2"] - Pad[127.95mil 9.84mil 137.80mil 9.84mil 11.81mil 23.62mil 23.62mil "PH1/OSC_OUT" "6" "square,edge2"] - Pad[9.84mil -137.80mil 9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PB0/ADC_IN8/TIM3_CH3/VREF_OUT" "18" "square"] - Pad[-137.80mil -9.84mil -127.95mil -9.84mil 11.81mil 23.62mil 23.62mil "PA9/USART1_TX" "30" "square"] - Pad[-9.84mil 127.95mil -9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "PB6/I2C1_SCL/TIM4_CH1/USART1_TX" "42" "square,edge2"] - Pad[127.95mil -9.84mil 137.80mil -9.84mil 11.81mil 23.62mil 23.62mil "NRST" "7" "square,edge2"] - Pad[-9.84mil -137.80mil -9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PB1/ADC_IN9/TIM3_CH4/VREF_OUT" "19" "square"] - Pad[-137.80mil 9.84mil -127.95mil 9.84mil 11.81mil 23.62mil 23.62mil "PA10/USART1_RX" "31" "square"] - Pad[9.84mil 127.95mil 9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "PB7/I2C1_SDA/TIM4_CH2/USART1_RX/PVD_IN" "43" "square,edge2"] - Pad[127.95mil -29.53mil 137.80mil -29.53mil 11.81mil 23.62mil 23.62mil "VSSA" "8" "square,edge2"] - Pad[-29.53mil -137.80mil -29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PB2/BOOT1" "20" "square"] - Pad[-137.80mil 29.53mil -127.95mil 29.53mil 11.81mil 23.62mil 23.62mil "PA11/USART1_CTS/USBDM/SPI1_MISO" "32" "square"] - Pad[29.53mil 127.95mil 29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "BOOT0" "44" "square,edge2"] - Pad[127.95mil -49.21mil 137.80mil -49.21mil 11.81mil 23.62mil 23.62mil "VDDA" "9" "square,edge2"] - Pad[-49.21mil -137.80mil -49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PB10/I2C2_SCL/USART3_TX/TIM2_CH3" "21" "square"] - Pad[-137.80mil 49.21mil -127.95mil 49.21mil 11.81mil 23.62mil 23.62mil "PA12/USART1_RTS/USBDP/SPI1_MOSI" "33" "square"] - Pad[49.21mil 127.95mil 49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "PB8/TIM4_CH3/I2C1_SCL/TIM10_CH1" "45" "square,edge2"] - Pad[127.95mil -68.90mil 137.80mil -68.90mil 11.81mil 23.62mil 23.62mil "PA0/WKUP1/USART2_CTS/ADC_IN0/TIM2_CH1_ETR" "10" "square,edge2"] - Pad[-68.90mil -137.80mil -68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PB11/I2C2_SDA/USART3_RX/TIM2_CH4" "22" "square"] - Pad[-137.80mil 68.90mil -127.95mil 68.90mil 11.81mil 23.62mil 23.62mil "PA13/JTMS/SWDIO" "34" "square"] - Pad[68.90mil 127.95mil 68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PB9/TIM4_CH4/I2C1_SDA/TIM11_CH1" "46" "square,edge2"] - Pad[127.95mil -88.58mil 137.80mil -88.58mil 11.81mil 23.62mil 23.62mil "PA1/USART2_RTS/ADC_IN1/TIM2_CH2" "11" "square,edge2"] - Pad[-88.58mil -137.80mil -88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "VSS1" "23" "square"] - Pad[-137.80mil 88.58mil -127.95mil 88.58mil 11.81mil 23.62mil 23.62mil "VSS2" "35" "square"] - Pad[88.58mil 127.95mil 88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "VSS3" "47" "square,edge2"] - Pad[127.95mil -108.27mil 137.80mil -108.27mil 11.81mil 23.62mil 23.62mil "PA2/USART2_TX/ADC_IN2/TIM2_CH3/TIM9_CH1" "12" "square,edge2"] - Pad[-108.27mil -137.80mil -108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "VDD1" "24" "square"] - Pad[-137.80mil 108.27mil -127.95mil 108.27mil 11.81mil 23.62mil 23.62mil "VDD2" "36" "square"] - Pad[108.27mil 127.95mil 108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "VDD3" "48" "square,edge2"] +Element["" "ufqfpn48" "U7" "unknown" 1845.00mil 232.00mil 232.00mil -149.00mil 3 100 ""] +( + Pad[-108.27mil 127.95mil -108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "VLCD" "1" "square,edge2"] + Pad[127.95mil 108.27mil 137.80mil 108.27mil 11.81mil 23.62mil 23.62mil "PA3/USART2_RX/ADC_IN3/TIM2_CH4/TIM9_CH2" "13" "square,edge2"] + Pad[108.27mil -137.80mil 108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "PB12/SPI2_NSS/I2C2_SMBA/USART3_CKI/ADC_IN18/TIM10_CH1" "25" "square"] + Pad[-137.80mil -108.27mil -127.95mil -108.27mil 11.81mil 23.62mil 23.62mil "PA14/JTCK/SWCLK" "37" "square"] + Pad[-88.58mil 127.95mil -88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "PC13/RTC_AF1/WKUP2" "2" "square,edge2"] + Pad[127.95mil 88.58mil 137.80mil 88.58mil 11.81mil 23.62mil 23.62mil "PA4/SPI1_NSS/USART2_CK/ADC_IN4/DAC_OUT1" "14" "square,edge2"] + Pad[88.58mil -137.80mil 88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "PB13/SPI2_SCK/USART3_CTS/ADC_IN19/TIM9_CH1" "26" "square"] + Pad[-137.80mil -88.58mil -127.95mil -88.58mil 11.81mil 23.62mil 23.62mil "PA15/JTDI/TIM2_CH1_ETR/SPI1_NSS" "38" "square"] + Pad[-68.90mil 127.95mil -68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PC14/OSC32_IN" "3" "square,edge2"] + Pad[127.95mil 68.90mil 137.80mil 68.90mil 11.81mil 23.62mil 23.62mil "PA5/SPI1_SCK/ADC_IN5/DAC_OUT2/TIM2_CH1_ETR" "15" "square,edge2"] + Pad[68.90mil -137.80mil 68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PB14/SPI2_MISO/USART3_RTS/ADC_IN20/TIM9_CH2" "27" "square"] + Pad[-137.80mil -68.90mil -127.95mil -68.90mil 11.81mil 23.62mil 23.62mil "PB3/JTDO/TIM2_CH2/TRACESWO/SPI1_SCK" "39" "square"] + Pad[-49.21mil 127.95mil -49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "PC15/OSC32_OUT" "4" "square,edge2"] + Pad[127.95mil 49.21mil 137.80mil 49.21mil 11.81mil 23.62mil 23.62mil "PA6/SPI1_MISO_ADC_IN6/TIM3_CH1/TIM10_CH1" "16" "square,edge2"] + Pad[49.21mil -137.80mil 49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PB15/SPI2_MOSI/ADC_IN21/TIM11_CH1/RTC_50_60HZ" "28" "square"] + Pad[-137.80mil -49.21mil -127.95mil -49.21mil 11.81mil 23.62mil 23.62mil "PB4/JNTRSTSPI1_MISO/TIM3_CH1" "40" "square"] + Pad[-29.53mil 127.95mil -29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "PH0/OSC_IN" "5" "square,edge2"] + Pad[127.95mil 29.53mil 137.80mil 29.53mil 11.81mil 23.62mil 23.62mil "PA7/SPI1_MOSI/ADC_IN7/TIM3_CH2/TIM11_CH1" "17" "square,edge2"] + Pad[29.53mil -137.80mil 29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PA8/USART1_CK/MCO" "29" "square"] + Pad[-137.80mil -29.53mil -127.95mil -29.53mil 11.81mil 23.62mil 23.62mil "PB5/I2C1_SMBA/TIM3_CH2/SPI1_MOSI" "41" "square"] + Pad[-9.84mil 127.95mil -9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "PH1/OSC_OUT" "6" "square,edge2"] + Pad[127.95mil 9.84mil 137.80mil 9.84mil 11.81mil 23.62mil 23.62mil "PB0/ADC_IN8/TIM3_CH3/VREF_OUT" "18" "square,edge2"] + Pad[9.84mil -137.80mil 9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PA9/USART1_TX" "30" "square"] + Pad[-137.80mil -9.84mil -127.95mil -9.84mil 11.81mil 23.62mil 23.62mil "PB6/I2C1_SCL/TIM4_CH1/USART1_TX" "42" "square"] + Pad[9.84mil 127.95mil 9.84mil 137.80mil 11.81mil 23.62mil 23.62mil "NRST" "7" "square,edge2"] + Pad[127.95mil -9.84mil 137.80mil -9.84mil 11.81mil 23.62mil 23.62mil "PB1/ADC_IN9/TIM3_CH4/VREF_OUT" "19" "square,edge2"] + Pad[-9.84mil -137.80mil -9.84mil -127.95mil 11.81mil 23.62mil 23.62mil "PA10/USART1_RX" "31" "square"] + Pad[-137.80mil 9.84mil -127.95mil 9.84mil 11.81mil 23.62mil 23.62mil "PB7/I2C1_SDA/TIM4_CH2/USART1_RX/PVD_IN" "43" "square"] + Pad[29.53mil 127.95mil 29.53mil 137.80mil 11.81mil 23.62mil 23.62mil "VSSA" "8" "square,edge2"] + Pad[127.95mil -29.53mil 137.80mil -29.53mil 11.81mil 23.62mil 23.62mil "PB2/BOOT1" "20" "square,edge2"] + Pad[-29.53mil -137.80mil -29.53mil -127.95mil 11.81mil 23.62mil 23.62mil "PA11/USART1_CTS/USBDM/SPI1_MISO" "32" "square"] + Pad[-137.80mil 29.53mil -127.95mil 29.53mil 11.81mil 23.62mil 23.62mil "BOOT0" "44" "square"] + Pad[49.21mil 127.95mil 49.21mil 137.80mil 11.81mil 23.62mil 23.62mil "VDDA" "9" "square,edge2"] + Pad[127.95mil -49.21mil 137.80mil -49.21mil 11.81mil 23.62mil 23.62mil "PB10/I2C2_SCL/USART3_TX/TIM2_CH3" "21" "square,edge2"] + Pad[-49.21mil -137.80mil -49.21mil -127.95mil 11.81mil 23.62mil 23.62mil "PA12/USART1_RTS/USBDP/SPI1_MOSI" "33" "square"] + Pad[-137.80mil 49.21mil -127.95mil 49.21mil 11.81mil 23.62mil 23.62mil "PB8/TIM4_CH3/I2C1_SCL/TIM10_CH1" "45" "square"] + Pad[68.90mil 127.95mil 68.90mil 137.80mil 11.81mil 23.62mil 23.62mil "PA0/WKUP1/USART2_CTS/ADC_IN0/TIM2_CH1_ETR" "10" "square,edge2"] + Pad[127.95mil -68.90mil 137.80mil -68.90mil 11.81mil 23.62mil 23.62mil "PB11/I2C2_SDA/USART3_RX/TIM2_CH4" "22" "square,edge2"] + Pad[-68.90mil -137.80mil -68.90mil -127.95mil 11.81mil 23.62mil 23.62mil "PA13/JTMS/SWDIO" "34" "square"] + Pad[-137.80mil 68.90mil -127.95mil 68.90mil 11.81mil 23.62mil 23.62mil "PB9/TIM4_CH4/I2C1_SDA/TIM11_CH1" "46" "square"] + Pad[88.58mil 127.95mil 88.58mil 137.80mil 11.81mil 23.62mil 23.62mil "PA1/USART2_RTS/ADC_IN1/TIM2_CH2" "11" "square,edge2"] + Pad[127.95mil -88.58mil 137.80mil -88.58mil 11.81mil 23.62mil 23.62mil "VSS1" "23" "square,edge2"] + Pad[-88.58mil -137.80mil -88.58mil -127.95mil 11.81mil 23.62mil 23.62mil "VSS2" "35" "square"] + Pad[-137.80mil 88.58mil -127.95mil 88.58mil 11.81mil 23.62mil 23.62mil "VSS3" "47" "square"] + Pad[108.27mil 127.95mil 108.27mil 137.80mil 11.81mil 23.62mil 23.62mil "PA2/USART2_TX/ADC_IN2/TIM2_CH3/TIM9_CH1" "12" "square,edge2"] + Pad[127.95mil -108.27mil 137.80mil -108.27mil 11.81mil 23.62mil 23.62mil "VDD1" "24" "square,edge2"] + Pad[-108.27mil -137.80mil -108.27mil -127.95mil 11.81mil 23.62mil 23.62mil "VDD2" "36" "square"] + Pad[-137.80mil 108.27mil -127.95mil 108.27mil 11.81mil 23.62mil 23.62mil "VDD3" "48" "square"] Pad[0.0000 0.0000 0.0000 0.0000 220.47mil 23.62mil 232.28mil "GND" "GND" "square"] - ElementLine [122.05mil 137.80mil 137.80mil 137.80mil 10.00mil] - ElementLine [137.80mil 122.05mil 137.80mil 137.80mil 10.00mil] - ElementLine [-137.80mil 137.80mil -122.05mil 137.80mil 10.00mil] ElementLine [-137.80mil 122.05mil -137.80mil 137.80mil 10.00mil] - ElementLine [122.05mil -137.80mil 137.80mil -137.80mil 10.00mil] - ElementLine [137.80mil -137.80mil 137.80mil -122.05mil 10.00mil] - ElementLine [-137.80mil -137.80mil -122.05mil -137.80mil 10.00mil] + ElementLine [-137.80mil 137.80mil -122.05mil 137.80mil 10.00mil] ElementLine [-137.80mil -137.80mil -137.80mil -122.05mil 10.00mil] - ElementLine [125.98mil 125.98mil 125.98mil 125.98mil 10.00mil] + ElementLine [-137.80mil -137.80mil -122.05mil -137.80mil 10.00mil] + ElementLine [137.80mil 122.05mil 137.80mil 137.80mil 10.00mil] + ElementLine [122.05mil 137.80mil 137.80mil 137.80mil 10.00mil] + ElementLine [137.80mil -137.80mil 137.80mil -122.05mil 10.00mil] + ElementLine [122.05mil -137.80mil 137.80mil -137.80mil 10.00mil] + ElementLine [-125.98mil 125.98mil -125.98mil 125.98mil 10.00mil] ) Element["" "0-215079-4" "J20" "Debug" 1261.00mil 53.00mil 0.0000 0.0000 0 100 ""] ( - Pin[0.0000 100.00mil 62.99mil 12.00mil 72.99mil 31.50mil "1" "1" "square,edge2,thermal(3X)"] + Pin[0.0000 100.00mil 62.99mil 12.00mil 72.99mil 31.50mil "1" "1" "square,edge2,thermal(2,3X)"] Pin[50.00mil 0.0000 62.99mil 12.00mil 72.99mil 31.50mil "2" "2" "edge2"] Pin[100.00mil 100.00mil 62.99mil 12.00mil 72.99mil 31.50mil "3" "3" "edge2"] Pin[150.00mil 0.0000 62.99mil 12.00mil 72.99mil 31.50mil "4" "4" "edge2"] @@ -1049,61 +1049,61 @@ Element["" "0-215079-4" "J20" "Debug" 1261.00mil 53.00mil 0.0000 0.0000 0 100 "" ) -Element["" "Venus634FLPx" "U10" "Venus634FLPx" 46.9369mm 730.00mil 140.00mil -130.00mil 3 100 ""] -( - Pad[180.30mil -157.47mil 205.50mil -157.47mil 14.17mil 17.32mil 15.00mil "GND" "11" "square,edge2"] - Pad[-205.51mil -157.47mil -180.31mil -157.47mil 14.17mil 17.32mil 15.00mil "pin23" "23" "square"] - Pad[157.47mil 180.31mil 157.47mil 205.51mil 14.17mil 17.32mil 15.00mil "TXD0" "44" "square,edge2"] - Pad[157.47mil -205.50mil 157.47mil -180.30mil 14.17mil 17.32mil 15.00mil "pin12" "12" "square"] - Pad[180.30mil -125.97mil 205.50mil -125.97mil 14.17mil 17.32mil 15.00mil "GND" "10" "square,edge2"] - Pad[-205.51mil -125.97mil -180.31mil -125.97mil 14.17mil 17.32mil 15.00mil "GND_RF" "24" "square"] - Pad[125.97mil 180.31mil 125.97mil 205.51mil 14.17mil 17.32mil 15.00mil "SPI_CSN0/PIO6" "43" "square,edge2"] - Pad[125.97mil -205.50mil 125.97mil -180.30mil 14.17mil 17.32mil 15.00mil "pin13" "13" "square"] - Pad[180.30mil -94.48mil 205.50mil -94.48mil 14.17mil 17.32mil 15.00mil "BOOT_SEL" "9" "square,edge2"] - Pad[-205.51mil -94.48mil -180.31mil -94.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "25" "square"] - Pad[94.48mil 180.31mil 94.48mil 205.51mil 14.17mil 17.32mil 15.00mil "RXD0" "42" "square,edge2"] - Pad[94.48mil -205.50mil 94.48mil -180.30mil 14.17mil 17.32mil 15.00mil "GPIO20" "14" "square"] - Pad[180.30mil -62.98mil 205.50mil -62.98mil 14.17mil 17.32mil 15.00mil "GPIO24" "8" "square,edge2"] - Pad[-205.51mil -62.98mil -180.31mil -62.98mil 14.17mil 17.32mil 15.00mil "pin26" "26" "square"] - Pad[62.98mil 180.31mil 62.98mil 205.51mil 14.17mil 17.32mil 15.00mil "SPI_CLK/PIOO7" "41" "square,edge2"] - Pad[62.98mil -205.50mil 62.98mil -180.30mil 14.17mil 17.32mil 15.00mil "GND" "15" "square"] - Pad[180.30mil -31.49mil 205.50mil -31.49mil 14.17mil 17.32mil 15.00mil "LED/GPIO0" "7" "square,edge2"] - Pad[-205.51mil -31.49mil -180.31mil -31.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "27" "square"] - Pad[31.49mil 180.31mil 31.49mil 205.51mil 14.17mil 17.32mil 15.00mil "P1PPS" "40" "square,edge2"] - Pad[31.49mil -205.50mil 31.49mil -180.30mil 14.17mil 17.32mil 15.00mil "pin16" "16" "square"] - Pad[180.30mil 0.0000 205.50mil 0.0000 14.17mil 17.32mil 15.00mil "GPIO1" "6" "square,edge2"] - Pad[-205.51mil 0.0000 -180.31mil 0.0000 14.17mil 17.32mil 15.00mil "GND_RF" "28" "square"] - Pad[0.0000 180.31mil 0.0000 205.51mil 14.17mil 17.32mil 15.00mil "MISO/PIO8" "39" "square,edge2"] - Pad[0.0000 -205.50mil 0.0000 -180.30mil 14.17mil 17.32mil 15.00mil "V12O_RTC" "17" "square"] - Pad[180.30mil 31.50mil 205.50mil 31.50mil 14.17mil 17.32mil 15.00mil "GPIO2" "5" "square,edge2"] - Pad[-205.51mil 31.50mil -180.31mil 31.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "29" "square"] - Pad[-31.50mil 180.31mil -31.50mil 205.51mil 14.17mil 17.32mil 15.00mil "MOSI/PIO9" "38" "square,edge2"] - Pad[-31.50mil -205.50mil -31.50mil -180.30mil 14.17mil 17.32mil 15.00mil "VBAT" "18" "square"] - Pad[180.30mil 62.99mil 205.50mil 62.99mil 14.17mil 17.32mil 15.00mil "PIO12" "4" "square,edge2"] - Pad[-205.51mil 62.99mil -180.31mil 62.99mil 14.17mil 17.32mil 15.00mil "pin30" "30" "square"] - Pad[-62.99mil 180.31mil -62.99mil 205.51mil 14.17mil 17.32mil 15.00mil "PIO14" "37" "square,edge2"] - Pad[-62.99mil -205.50mil -62.99mil -180.30mil 14.17mil 17.32mil 15.00mil "GND" "19" "square"] - Pad[180.30mil 94.49mil 205.50mil 94.49mil 14.17mil 17.32mil 15.00mil "pin3" "3" "square,edge2"] - Pad[-205.51mil 94.49mil -180.31mil 94.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "31" "square"] - Pad[-94.49mil 180.31mil -94.49mil 205.51mil 14.17mil 17.32mil 15.00mil "REG_ENA" "36" "square,edge2"] - Pad[-94.49mil -205.50mil -94.49mil -180.30mil 14.17mil 17.32mil 15.00mil "pin20" "20" "square"] - Pad[180.30mil 125.98mil 205.50mil 125.98mil 14.17mil 17.32mil 15.00mil "VCC33I" "2" "square,edge2"] - Pad[-205.51mil 125.98mil -180.31mil 125.98mil 14.17mil 17.32mil 15.00mil "RFIN" "32" "square"] - Pad[-125.98mil 180.31mil -125.98mil 205.51mil 14.17mil 17.32mil 15.00mil "pin35" "35" "square,edge2"] - Pad[-125.98mil -205.50mil -125.98mil -180.30mil 14.17mil 17.32mil 15.00mil "GND_RF" "21" "square"] - Pad[180.30mil 157.48mil 205.50mil 157.48mil 14.17mil 17.32mil 15.00mil "RSTN" "1" "square,edge2"] - Pad[-205.51mil 157.48mil -180.31mil 157.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "33" "square"] - Pad[-157.48mil 180.31mil -157.48mil 205.51mil 14.17mil 17.32mil 15.00mil "pin34" "34" "square,edge2"] - Pad[-157.48mil -205.50mil -157.48mil -180.30mil 14.17mil 17.32mil 15.00mil "GND_RF" "22" "square"] - ElementLine [-196.85mil -196.84mil 196.84mil -196.84mil 10.00mil] - ElementLine [-196.85mil -196.84mil -196.85mil 196.85mil 10.00mil] - ElementLine [-196.85mil 196.85mil 196.84mil 196.85mil 10.00mil] - ElementLine [196.84mil -196.84mil 196.84mil 196.85mil 10.00mil] - ElementArc [208.65mil 208.66mil 5.00mil 5.00mil 270 360 10.00mil] - - ) - -Element["" "0402" "C35" "22pF" 945.74mil 1368.00mil -31.24mil -85.50mil 0 100 ""] +Element["" "Venus634FLPx" "U10" "Venus634FLPx" 46.9369mm 730.00mil -140.00mil 130.00mil 1 100 ""] +( + Pad[-205.50mil 157.47mil -180.30mil 157.47mil 14.17mil 17.32mil 15.00mil "GND" "11" "square"] + Pad[180.31mil 157.47mil 205.51mil 157.47mil 14.17mil 17.32mil 15.00mil "pin23" "23" "square,edge2"] + Pad[-157.47mil -205.51mil -157.47mil -180.31mil 14.17mil 17.32mil 15.00mil "TXD0" "44" "square"] + Pad[-157.47mil 180.30mil -157.47mil 205.50mil 14.17mil 17.32mil 15.00mil "pin12" "12" "square,edge2"] + Pad[-205.50mil 125.97mil -180.30mil 125.97mil 14.17mil 17.32mil 15.00mil "GND" "10" "square"] + Pad[180.31mil 125.97mil 205.51mil 125.97mil 14.17mil 17.32mil 15.00mil "GND_RF" "24" "square,edge2"] + Pad[-125.97mil -205.51mil -125.97mil -180.31mil 14.17mil 17.32mil 15.00mil "SPI_CSN0/PIO6" "43" "square"] + Pad[-125.97mil 180.30mil -125.97mil 205.50mil 14.17mil 17.32mil 15.00mil "pin13" "13" "square,edge2"] + Pad[-205.50mil 94.48mil -180.30mil 94.48mil 14.17mil 17.32mil 15.00mil "BOOT_SEL" "9" "square"] + Pad[180.31mil 94.48mil 205.51mil 94.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "25" "square,edge2"] + Pad[-94.48mil -205.51mil -94.48mil -180.31mil 14.17mil 17.32mil 15.00mil "RXD0" "42" "square"] + Pad[-94.48mil 180.30mil -94.48mil 205.50mil 14.17mil 17.32mil 15.00mil "GPIO20" "14" "square,edge2"] + Pad[-205.50mil 62.98mil -180.30mil 62.98mil 14.17mil 17.32mil 15.00mil "GPIO24" "8" "square"] + Pad[180.31mil 62.98mil 205.51mil 62.98mil 14.17mil 17.32mil 15.00mil "pin26" "26" "square,edge2"] + Pad[-62.98mil -205.51mil -62.98mil -180.31mil 14.17mil 17.32mil 15.00mil "SPI_CLK/PIOO7" "41" "square"] + Pad[-62.98mil 180.30mil -62.98mil 205.50mil 14.17mil 17.32mil 15.00mil "GND" "15" "square,edge2"] + Pad[-205.50mil 31.49mil -180.30mil 31.49mil 14.17mil 17.32mil 15.00mil "LED/GPIO0" "7" "square"] + Pad[180.31mil 31.49mil 205.51mil 31.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "27" "square,edge2"] + Pad[-31.49mil -205.51mil -31.49mil -180.31mil 14.17mil 17.32mil 15.00mil "P1PPS" "40" "square"] + Pad[-31.49mil 180.30mil -31.49mil 205.50mil 14.17mil 17.32mil 15.00mil "pin16" "16" "square,edge2"] + Pad[-205.50mil 0.0000 -180.30mil 0.0000 14.17mil 17.32mil 15.00mil "GPIO1" "6" "square"] + Pad[180.31mil 0.0000 205.51mil 0.0000 14.17mil 17.32mil 15.00mil "GND_RF" "28" "square,edge2"] + Pad[0.0000 -205.51mil 0.0000 -180.31mil 14.17mil 17.32mil 15.00mil "MISO/PIO8" "39" "square"] + Pad[0.0000 180.30mil 0.0000 205.50mil 14.17mil 17.32mil 15.00mil "V12O_RTC" "17" "square,edge2"] + Pad[-205.50mil -31.50mil -180.30mil -31.50mil 14.17mil 17.32mil 15.00mil "GPIO2" "5" "square"] + Pad[180.31mil -31.50mil 205.51mil -31.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "29" "square,edge2"] + Pad[31.50mil -205.51mil 31.50mil -180.31mil 14.17mil 17.32mil 15.00mil "MOSI/PIO9" "38" "square"] + Pad[31.50mil 180.30mil 31.50mil 205.50mil 14.17mil 17.32mil 15.00mil "VBAT" "18" "square,edge2"] + Pad[-205.50mil -62.99mil -180.30mil -62.99mil 14.17mil 17.32mil 15.00mil "PIO12" "4" "square"] + Pad[180.31mil -62.99mil 205.51mil -62.99mil 14.17mil 17.32mil 15.00mil "pin30" "30" "square,edge2"] + Pad[62.99mil -205.51mil 62.99mil -180.31mil 14.17mil 17.32mil 15.00mil "PIO14" "37" "square"] + Pad[62.99mil 180.30mil 62.99mil 205.50mil 14.17mil 17.32mil 15.00mil "GND" "19" "square,edge2"] + Pad[-205.50mil -94.49mil -180.30mil -94.49mil 14.17mil 17.32mil 15.00mil "pin3" "3" "square"] + Pad[180.31mil -94.49mil 205.51mil -94.49mil 14.17mil 17.32mil 15.00mil "GND_RF" "31" "square,edge2"] + Pad[94.49mil -205.51mil 94.49mil -180.31mil 14.17mil 17.32mil 15.00mil "REG_ENA" "36" "square"] + Pad[94.49mil 180.30mil 94.49mil 205.50mil 14.17mil 17.32mil 15.00mil "pin20" "20" "square,edge2"] + Pad[-205.50mil -125.98mil -180.30mil -125.98mil 14.17mil 17.32mil 15.00mil "VCC33I" "2" "square"] + Pad[180.31mil -125.98mil 205.51mil -125.98mil 14.17mil 17.32mil 15.00mil "RFIN" "32" "square,edge2"] + Pad[125.98mil -205.51mil 125.98mil -180.31mil 14.17mil 17.32mil 15.00mil "pin35" "35" "square"] + Pad[125.98mil 180.30mil 125.98mil 205.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "21" "square,edge2"] + Pad[-205.50mil -157.48mil -180.30mil -157.48mil 14.17mil 17.32mil 15.00mil "RSTN" "1" "square"] + Pad[180.31mil -157.48mil 205.51mil -157.48mil 14.17mil 17.32mil 15.00mil "GND_RF" "33" "square,edge2"] + Pad[157.48mil -205.51mil 157.48mil -180.31mil 14.17mil 17.32mil 15.00mil "pin34" "34" "square"] + Pad[157.48mil 180.30mil 157.48mil 205.50mil 14.17mil 17.32mil 15.00mil "GND_RF" "22" "square,edge2"] + ElementLine [-196.84mil 196.84mil 196.85mil 196.84mil 10.00mil] + ElementLine [196.85mil -196.85mil 196.85mil 196.84mil 10.00mil] + ElementLine [-196.84mil -196.85mil 196.85mil -196.85mil 10.00mil] + ElementLine [-196.84mil -196.85mil -196.84mil 196.84mil 10.00mil] + ElementArc [-208.65mil -208.66mil 5.00mil 5.00mil 90 360 10.00mil] + + ) + +Element["" "0402" "C35" "22pF" 53.4482mm 500.00mil -31.24mil -85.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1117,7 +1117,7 @@ Element["" "0402" "R51" "1k" 778.00mil 27.1338mm -94.19mil -11.82mil 0 100 ""] ) -Element["" "0402" "C39" "1uF" 42.2082mm 1664.00mil -53.76mil -77.50mil 0 100 ""] +Element["" "0402" "C39" "1uF" 42.2082mm 1405.00mil -53.76mil -77.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1149,28 +1149,28 @@ Element["" "0402" "R101" "22" 264.00mil 29.4452mm -68.02mil -76.76mil 0 100 ""] Element["" "hole-M3" "H4" "unknown" 125.00mil 875.00mil -24.00mil -40.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(0X,2S,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) Element["" "hole-M3" "H3" "unknown" 2375.00mil 875.00mil -30.00mil -10.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(0S,2X,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) Element["" "hole-M3" "H2" "unknown" 2375.00mil 125.00mil -30.00mil -30.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(0S,2X,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) Element["" "hole-M3" "H1" "unknown" 125.00mil 125.00mil -25.00mil -15.00mil 0 100 ""] ( - Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(3S)"] + Pin[0.0000 0.0000 175.00mil 25.00mil 225.00mil 125.00mil "1" "1" "usetherm,thermal(2S,3S)"] ElementArc [0.0000 0.0000 120.00mil 120.00mil 0 360 10.00mil] ) @@ -1258,38 +1258,24 @@ Element["" "ti-qfn20" "U3" "CC115L" 9.7483mm 4.4926mm 0.0000 0.0000 0 100 ""] ) -Element["" "microSD" "J1" "microSD" 811.42mil 63.16mil 0.0000 0.0000 0 100 ""] +Element["" "microSD" "J1" "microSD" 14.7870mm 303.19mil 0.0000 0.0000 1 100 ""] ( - Pad[-267.71mil 3.3749mm -267.71mil 150.59mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] - Pad[267.72mil 3.3749mm 267.72mil 150.59mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] - Pad[-267.71mil 463.58mil -267.71mil 481.30mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] - Pad[267.72mil 463.58mil 267.72mil 481.30mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] - Pad[124.80mil 363.98mil 124.80mil 381.69mil 23.62mil 0.0000 29.53mil "DAT2" "1" "square,edge2"] - Pad[81.50mil 363.98mil 81.50mil 381.69mil 23.62mil 0.0000 29.53mil "CD/DAT3" "2" "square,edge2"] - Pad[38.19mil 363.98mil 38.19mil 381.69mil 23.62mil 0.0000 29.53mil "CMD" "3" "square,edge2"] - Pad[-5.11mil 363.98mil -5.11mil 381.69mil 23.62mil 0.0000 29.53mil "VDD" "4" "square,edge2"] - Pad[-48.42mil 363.98mil -48.42mil 381.69mil 23.62mil 0.0000 29.53mil "CLK" "5" "square,edge2"] - Pad[-91.72mil 363.98mil -91.72mil 381.69mil 23.62mil 0.0000 29.53mil "VSS" "6" "square,edge2"] - Pad[-135.03mil 363.98mil -135.03mil 381.69mil 23.62mil 0.0000 29.53mil "DAT0" "7" "square,edge2"] - Pad[-178.34mil 363.98mil -178.34mil 381.69mil 23.62mil 0.0000 29.53mil "DAT1" "8" "square,edge2"] - ElementLine [267.72mil 0.0000 267.72mil 523.62mil 10.00mil] - ElementLine [-267.71mil 0.0000 -267.71mil 523.62mil 10.00mil] - ElementLine [-267.71mil 0.0000 267.72mil 0.0000 10.00mil] - ElementLine [-267.71mil 523.62mil 267.72mil 523.62mil 10.00mil] - - ) - -Element["" "ap.10g" "J10" "AP.10G.01" 57.5171mm 12.8238mm -64.00mil 95.41mil 3 100 ""] -( - Pin[155.51mil -190.94mil 59.84mil 0.0000 59.84mil 59.06mil "GND" "GND" ""] - Pin[155.51mil 190.94mil 59.84mil 0.0000 59.84mil 59.06mil "GND" "GND" ""] - Pad[36.42mil -197.05mil 69.88mil -197.05mil 49.21mil 0.0000 49.21mil "GND" "GND" "square,edge2"] - Pad[36.42mil 197.05mil 69.88mil 197.05mil 49.21mil 0.0000 49.21mil "GND" "GND" "square,edge2"] - Pad[-24.61mil -154.53mil -24.61mil -121.06mil 49.21mil 0.0000 49.21mil "GND" "GND" "square"] - Pad[-24.61mil 121.06mil -24.61mil 154.53mil 49.21mil 0.0000 49.21mil "GND" "GND" "square,edge2"] - Pad[-24.61mil -16.73mil -24.61mil 16.73mil 49.21mil 19.69mil 59.06mil "1" "1" "square"] - Pad[-117.32mil 0.0000 -50.00mil 0.0000 50.79mil 19.69mil 1.5400mm "1" "1" "square"] - ElementLine [230.31mil -190.94mil 230.31mil 190.94mil 10.00mil] + Pad[3.3749mm 267.71mil 150.59mil 267.71mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] + Pad[3.3749mm -267.72mil 150.59mil -267.72mil 41.34mil 0.0000 47.24mil "MNT" "9" "square"] + Pad[463.58mil 267.71mil 481.30mil 267.71mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] + Pad[463.58mil -267.72mil 481.30mil -267.72mil 41.34mil 0.0000 47.24mil "MNT" "9" "square,edge2"] + Pad[363.98mil -124.80mil 381.69mil -124.80mil 23.62mil 0.0000 29.53mil "DAT2" "1" "square,edge2"] + Pad[363.98mil -81.50mil 381.69mil -81.50mil 23.62mil 0.0000 29.53mil "CD/DAT3" "2" "square,edge2"] + Pad[363.98mil -38.19mil 381.69mil -38.19mil 23.62mil 0.0000 29.53mil "CMD" "3" "square,edge2"] + Pad[363.98mil 5.11mil 381.69mil 5.11mil 23.62mil 0.0000 29.53mil "VDD" "4" "square,edge2"] + Pad[363.98mil 48.42mil 381.69mil 48.42mil 23.62mil 0.0000 29.53mil "CLK" "5" "square,edge2"] + Pad[363.98mil 91.72mil 381.69mil 91.72mil 23.62mil 0.0000 29.53mil "VSS" "6" "square,edge2"] + Pad[363.98mil 135.03mil 381.69mil 135.03mil 23.62mil 0.0000 29.53mil "DAT0" "7" "square,edge2"] + Pad[363.98mil 178.34mil 381.69mil 178.34mil 23.62mil 0.0000 29.53mil "DAT1" "8" "square,edge2"] + ElementLine [0.0000 -267.72mil 523.62mil -267.72mil 10.00mil] + ElementLine [0.0000 267.71mil 523.62mil 267.71mil 10.00mil] + ElementLine [0.0000 -267.72mil 0.0000 267.71mil 10.00mil] + ElementLine [523.62mil -267.72mil 523.62mil 267.71mil 10.00mil] ) @@ -1334,7 +1320,7 @@ Element["" "qfn-16" "U4" "RFPA0133" 8.7303mm 11.4540mm 0.0000 0.0000 0 100 ""] ) -Element["" "0402" "R36" "0" 56.1152mm 1220.00mil -0.8999mm 28.98mil 0 100 ""] +Element["" "0402" "R36" "0" 52.0512mm 1290.00mil -0.8999mm 28.98mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1348,21 +1334,21 @@ Element["" "0402" "C38" "10nF" 55.9374mm 1126.00mil -41.50mil -101.50mil 0 100 " ) -Element["" "0402" "C41" "tuning" 660.78mil 1265.00mil -37.24mil -75.50mil 0 100 ""] +Element["" "0402" "C41" "tuning" 53.8292mm 590.00mil -37.24mil -75.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "C34" "0.001uF" 634.78mil 1329.00mil -0.6025mm 20.50mil 0 100 ""] +Element["" "0402" "C34" "0.001uF" 54.2478mm 675.00mil -0.6025mm 20.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) -Element["" "0402" "L5" "27nH" 692.78mil 1180.00mil -31.50mil -81.50mil 0 100 ""] +Element["" "0402" "L5" "27nH" 54.5912mm 770.00mil -31.50mil -81.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] @@ -1376,20 +1362,489 @@ Element["" "0402" "R33" "27k" 19.6540mm 1182.00mil -44.50mil -95.50mil 0 100 ""] ) -Element["" "0402" "C5" "4.7uF" 720.78mil 1321.00mil -43.24mil -109.50mil 0 100 ""] +Element["" "0402" "C5" "4.7uF" 720.78mil 1321.00mil 2.5461mm -89.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "ap.10g" "J10" "AP.10G.01" 2270.00mil 500.00mil 0.0000 0.0000 3 100 ""] +( + Pin[155.51mil -190.94mil 59.84mil 0.0000 59.84mil 59.06mil "2" "2" ""] + Pin[155.51mil 190.94mil 59.84mil 0.0000 59.84mil 59.06mil "2" "2" ""] + Pad[36.42mil -197.05mil 69.88mil -197.05mil 49.21mil 0.0000 49.21mil "2" "2" "square,edge2"] + Pad[36.42mil 197.05mil 69.88mil 197.05mil 49.21mil 0.0000 49.21mil "2" "2" "square,edge2"] + Pad[-24.61mil -154.53mil -24.61mil -121.06mil 49.21mil 0.0000 49.21mil "2" "2" "square"] + Pad[-24.61mil 121.06mil -24.61mil 154.53mil 49.21mil 0.0000 49.21mil "2" "2" "square,edge2"] + Pad[-24.61mil -16.73mil -24.61mil 16.73mil 49.21mil 19.69mil 59.06mil "1" "1" "square"] + Pad[-117.32mil 0.0000 -50.00mil 0.0000 50.79mil 19.69mil 1.5400mm "1" "1" "square"] + ElementLine [225.31mil -190.94mil 225.31mil 190.94mil 10.00mil] + + ) + +Element["" "ABM8" "X1" "26mhz" 553.58mil 29.3437mm 0.0000 79.46mil 0 100 ""] +( + Pad[36.42mil -50.19mil 36.42mil -40.34mil 41.34mil -9.83mil 47.34mil "2" "2" "square"] + Pad[36.42mil 40.35mil 36.42mil 50.20mil 41.34mil -9.83mil 47.34mil "1" "1" "square,edge2"] + Pad[-36.41mil 40.35mil -36.41mil 50.20mil 41.34mil -9.83mil 47.34mil "4" "4" "square,edge2"] + Pad[-36.41mil -50.19mil -36.41mil -40.34mil 41.34mil -9.83mil 47.34mil "3" "3" "square"] + ElementArc [6.30mil 1.5400mm 5.00mil 5.00mil 0 360 10.00mil] + + ) + +Element["" "0402" "C21" "unknown" 70.00mil 1730.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C24" "unknown" 390.00mil 1730.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C22" "unknown" 180.00mil 1730.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "R2" "unknown" 765.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "R3" "unknown" 875.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L6" "unknown" 990.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C10" "unknown" 36.5948mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C14" "unknown" 28.0858mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C6" "unknown" 31.0068mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C9" "unknown" 34.0548mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C18" "unknown" 39.3888mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C17" "unknown" 42.1828mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C8" "unknown" 51.5808mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C11" "unknown" 44.4688mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C2" "unknown" 47.1358mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "R1" "unknown" 49.4218mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C13" "unknown" 53.7398mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C7" "unknown" 56.0258mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C1" "unknown" 58.4388mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C16" "unknown" 60.8518mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L4" "unknown" 70.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L7" "unknown" 4.5908mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C19" "unknown" 9.7978mm 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C25" "unknown" 475.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C23" "unknown" 560.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C20" "unknown" 650.74mil 1605.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C15" "unknown" 7.5118mm 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C12" "unknown" 395.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "C3" "unknown" 505.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L2" "unknown" 4.4638mm 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L3" "unknown" 590.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L1" "unknown" 675.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] +( + Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] + Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] + + ) + +Element["" "0402" "L8" "unknown" 70.74mil 1845.00mil -31.50mil -91.50mil 0 100 ""] ( Pad[-15.74mil -3.93mil -15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "1" "1" "square"] Pad[15.74mil -3.93mil 15.74mil 3.93mil 19.68mil 20.00mil 25.68mil "2" "2" "square"] ) +Rat[51.9806mm 40.6672mm 0 49.9740mm 35.2062mm 0 ""] +Rat[49.9740mm 35.2062mm 0 51.6514mm 32.6662mm 0 ""] +Rat[51.9806mm 40.6672mm 0 44.8686mm 40.6672mm 0 ""] +Rat[51.6514mm 32.6662mm 0 1739.10mil 29.1894mm 0 ""] +Rat[44.8686mm 40.6672mm 0 1467.00mil 36.8318mm 0 ""] +Rat[51.6514mm 32.6662mm 0 2165.00mil 766.07mil 0 ""] +Rat[2165.00mil 766.07mil 0 54.6476mm 671.07mil 0 ""] +Rat[54.6476mm 671.07mil 0 1942.40mil 13.3220mm 0 ""] +Rat[1942.40mil 13.3220mm 0 44.1129mm 369.80mil 0 ""] +Rat[44.1129mm 369.80mil 0 1707.20mil 340.27mil 0 ""] +Rat[1707.20mil 340.27mil 0 44.1129mm 94.20mil 0 ""] +Rat[44.1129mm 94.20mil 0 1982.80mil 123.73mil 0 ""] +Rat[44.1129mm 369.80mil 0 41.7172mm 604.02mil 0 ""] +Rat[41.7172mm 604.02mil 0 24.4819mm 308.30mil 0 ""] +Rat[24.4819mm 308.30mil 0 12.0582mm 3.9927mm 0 ""] +Rat[12.0582mm 3.9927mm 0 10.7483mm 85.94mil 0 ""] +Rat[10.7483mm 85.94mil 0 9.2484mm 85.94mil 0 ""] +Rat[9.2484mm 85.94mil 0 8.7486mm 85.94mil 0 ""] +Rat[8.7486mm 85.94mil 0 7.4387mm 4.4926mm 0 ""] +Rat[12.0582mm 3.9927mm 0 10.2484mm 6.8025mm 0 ""] +Rat[10.2484mm 6.8025mm 0 6.7455mm 12.2041mm 0 ""] +Rat[6.7455mm 12.2041mm 0 374.00mil 924.00mil 0 ""] +Rat[38.0002mm 27.4452mm 0 1707.20mil 261.53mil 0 ""] +Rat[24.4819mm 221.69mil 0 1982.80mil 320.58mil 0 ""] +Rat[12.0582mm 4.9927mm 0 49.6131mm 94.20mil 0 ""] +Rat[114.55mil 400.00mil 3 5.00mil 5.00mil 2 "via"] +Rat[115.00mil 600.00mil 3 5.00mil 5.00mil 2 "via"] +Rat[9.4804mm 13.4390mm 0 5.00mil 5.00mil 2 "via"] +Rat[10.7153mm 12.2041mm 0 5.00mil 5.00mil 2 "via"] +Rat[10.7153mm 11.2043mm 0 5.00mil 5.00mil 2 "via"] +Rat[10.7153mm 10.7042mm 0 5.00mil 5.00mil 2 "via"] +Rat[8.4806mm 9.4692mm 0 5.00mil 5.00mil 2 "via"] +Rat[6.7455mm 11.7039mm 0 5.00mil 5.00mil 2 "via"] +Rat[8.7303mm 11.4540mm 0 5.00mil 5.00mil 2 "via"] +Rat[44.6131mm 94.20mil 0 5.00mil 5.00mil 2 "via"] +Rat[1707.20mil 320.58mil 0 5.00mil 5.00mil 2 "via"] +Rat[41.7172mm 792.98mil 0 5.00mil 5.00mil 2 "via"] +Rat[41.7172mm 855.97mil 0 5.00mil 5.00mil 2 "via"] +Rat[41.7172mm 887.47mil 0 5.00mil 5.00mil 2 "via"] +Rat[45.3372mm 935.50mil 0 5.00mil 5.00mil 2 "via"] +Rat[335.00mil 836.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[10.5484mm 5.2927mm 3 5.00mil 5.00mil 2 "via"] +Rat[7.4387mm 4.9927mm 0 5.00mil 5.00mil 2 "via"] +Rat[7.4387mm 3.4929mm 0 5.00mil 5.00mil 2 "via"] +Rat[804.00mil 864.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[471.00mil 878.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[32.8407mm 812.98mil 0 5.00mil 5.00mil 2 "via"] +Rat[32.1907mm 812.98mil 0 5.00mil 5.00mil 2 "via"] +Rat[7.9805mm 13.4390mm 0 5.00mil 5.00mil 2 "via"] +Rat[8.4806mm 13.4390mm 0 5.00mil 5.00mil 2 "via"] +Rat[24.4819mm 394.91mil 0 5.00mil 5.00mil 2 "via"] +Rat[34.6250mm 365.53mil 0 5.00mil 5.00mil 2 "via"] +Rat[39.2247mm 460.00mil 0 5.00mil 5.00mil 2 "via"] +Rat[45.3372mm 935.50mil 0 1910.90mil 935.50mil 0 ""] +Rat[1707.20mil 320.58mil 0 47.6131mm 369.80mil 0 ""] +Rat[1910.90mil 935.50mil 0 50.4820mm 28.0688mm 0 ""] +Rat[50.4820mm 28.0688mm 0 1853.00mil 28.6276mm 0 ""] +Rat[804.00mil 864.00mil 0 774.07mil 1084.00mil 0 ""] +Rat[774.07mil 1084.00mil 0 789.52mil 29.9230mm 0 ""] +Rat[789.52mil 29.9230mm 0 17.9080mm 33.4536mm 0 ""] +Rat[17.9080mm 33.4536mm 0 758.00mil 35.8412mm 0 ""] +Rat[774.07mil 1084.00mil 0 590.00mil 28.0689mm 0 ""] +Rat[590.00mil 28.0689mm 0 517.17mil 30.6188mm 0 ""] +Rat[517.17mil 30.6188mm 0 11.6350mm 34.7858mm 0 ""] +Rat[789.52mil 29.9230mm 0 983.00mil 29.6690mm 0 ""] +Rat[758.00mil 35.8412mm 0 666.48mil 40.6672mm 0 ""] +Rat[666.48mil 40.6672mm 0 576.48mil 40.6672mm 0 ""] +Rat[576.48mil 40.6672mm 0 491.48mil 40.6672mm 0 ""] +Rat[491.48mil 40.6672mm 0 401.48mil 40.6672mm 0 ""] +Rat[401.48mil 40.6672mm 0 9.5062mm 43.8422mm 0 ""] +Rat[9.5062mm 43.8422mm 0 380.00mil 46.7632mm 0 ""] +Rat[9.5062mm 43.8422mm 0 4.1722mm 43.8422mm 0 ""] +Rat[4.1722mm 43.8422mm 0 160.00mil 46.7632mm 0 ""] +Rat[983.00mil 29.6690mm 0 30.3040mm 28.8930mm 0 ""] +Rat[50.4820mm 28.0688mm 0 2218.00mil 28.5006mm 0 ""] +Rat[2218.00mil 28.5006mm 0 59.3984mm 30.6596mm 0 ""] +Rat[44.6131mm 94.20mil 0 1982.80mil 143.42mil 0 ""] +Rat[1853.00mil 28.6276mm 0 1621.00mil 29.1894mm 0 ""] +Rat[1621.00mil 29.1894mm 0 38.0002mm 1112.00mil 0 ""] +Rat[30.3040mm 28.8930mm 0 29.2232mm 35.2062mm 0 ""] +Rat[29.2232mm 35.2062mm 0 1205.00mil 40.6672mm 0 ""] +Rat[1205.00mil 40.6672mm 0 1090.00mil 40.6672mm 0 ""] +Rat[1205.00mil 40.6672mm 0 1325.00mil 40.6672mm 0 ""] +Rat[1325.00mil 40.6672mm 0 1425.00mil 40.6672mm 0 ""] +Rat[1425.00mil 40.6672mm 0 1535.00mil 40.6672mm 0 ""] +Rat[1535.00mil 40.6672mm 0 1645.00mil 40.6672mm 0 ""] +Rat[1645.00mil 40.6672mm 0 1735.00mil 40.6672mm 0 ""] +Rat[1735.00mil 40.6672mm 0 1840.00mil 40.6672mm 0 ""] +Rat[1840.00mil 40.6672mm 0 1930.00mil 40.6672mm 0 ""] +Rat[1930.00mil 40.6672mm 0 2015.00mil 40.6672mm 0 ""] +Rat[2015.00mil 40.6672mm 0 2100.00mil 40.6672mm 0 ""] +Rat[2100.00mil 40.6672mm 0 2190.00mil 40.6672mm 0 ""] +Rat[2190.00mil 40.6672mm 0 58.8386mm 40.6672mm 0 ""] +Rat[58.8386mm 40.6672mm 0 2380.00mil 40.6672mm 0 ""] +Rat[1645.00mil 40.6672mm 0 1646.00mil 35.5872mm 0 ""] +Rat[1930.00mil 40.6672mm 0 1936.00mil 35.2062mm 0 ""] +Rat[125.00mil 875.00mil 3 157.00mil 29.6690mm 0 ""] +Rat[2120.00mil 671.07mil 0 57.6579mm 11.4000mm 0 "via"] +Rat[50.1368mm 935.50mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 855.97mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 824.48mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 761.49mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 730.00mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 698.50mil 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 16.1420mm 0 5.00mil 5.00mil 1 "via"] +Rat[52.1569mm 14.5420mm 0 5.00mil 5.00mil 1 "via"] +Rat[50.9369mm 935.50mil 0 5.00mil 5.00mil 1 "via"] +Rat[53.4294mm 586.07mil 0 5.00mil 5.00mil 1 "via"] +Rat[45.6131mm 369.80mil 0 46.1371mm 13.3220mm 0 ""] +Rat[24.4819mm 438.22mil 0 1982.80mil 281.21mil 0 ""] +Rat[9.2484mm 6.8025mm 0 1913.90mil 94.20mil 0 ""] +Rat[24.4819mm 265.00mil 0 1982.80mil 261.53mil 0 ""] +Rat[7.4387mm 5.4926mm 0 48.1129mm 94.20mil 0 ""] +Rat[34.4546mm 40.6672mm 0 1982.80mil 182.79mil 0 ""] +Rat[34.4546mm 40.6672mm 0 7.9805mm 9.4692mm 0 ""] +Rat[31.4066mm 40.6672mm 0 6.7455mm 10.7042mm 0 ""] +Rat[31.4066mm 40.6672mm 0 1982.80mil 163.10mil 0 ""] +Rat[42.5826mm 40.6672mm 0 39.7886mm 40.6672mm 0 ""] +Rat[42.5826mm 40.6672mm 0 1707.20mil 300.90mil 0 ""] +Rat[1707.20mil 300.90mil 0 10.7153mm 11.7039mm 0 ""] +Rat[9.7483mm 6.8025mm 0 47.1129mm 94.20mil 0 ""] +Rat[12.0582mm 5.4926mm 0 46.6131mm 94.20mil 0 ""] +Rat[3.1882mm 29.6690mm 0 296.00mil 924.00mil 0 ""] +Rat[296.00mil 924.00mil 0 1311.00mil 53.00mil 3 ""] +Rat[1311.00mil 53.00mil 3 41.7172mm 14.5420mm 0 ""] +Rat[41.7172mm 14.5420mm 0 47.1129mm 369.80mil 0 ""] +Rat[1707.20mil 241.84mil 0 42.9372mm 13.3220mm 0 ""] +Rat[24.4819mm 351.61mil 0 1982.80mil 300.90mil 0 ""] +Rat[8.7486mm 6.8025mm 0 49.1129mm 94.20mil 0 ""] +Rat[1411.00mil 53.00mil 3 1707.20mil 123.73mil 0 ""] +Rat[1361.00mil 153.00mil 3 1776.10mil 94.20mil 0 ""] +Rat[1707.20mil 222.16mil 0 44.5371mm 13.3220mm 0 ""] +Rat[2370.00mil 30.6596mm 0 2065.00mil 32.6662mm 0 ""] +Rat[2065.00mil 32.6662mm 0 569.00mil 839.00mil 0 ""] +Rat[55.5376mm 28.5006mm 0 569.00mil 917.00mil 0 ""] +Rat[18.0102mm 943.00mil 0 19.6602mm 976.46mil 0 ""] +Rat[19.6602mm 976.46mil 0 804.00mil 903.00mil 0 ""] +Rat[951.52mil 29.6690mm 0 706.00mil 903.00mil 0 ""] +Rat[18.0102mm 976.46mil 0 356.07mil 1395.00mil 0 ""] +Rat[19.6602mm 943.00mil 0 774.07mil 26.7340mm 0 ""] +Rat[758.04mil 29.9230mm 0 41.7172mm 824.48mil 0 ""] +Rat[54.6781mm 500.00mil 0 54.1914mm 766.07mil 0 ""] +Rat[53.0484mm 496.07mil 0 2135.00mil 586.07mil 0 ""] +Rat[2135.00mil 586.07mil 0 52.1569mm 604.02mil 0 ""] +Rat[1956.00mil 28.0688mm 0 46.6131mm 369.80mil 0 ""] +Rat[46.6131mm 369.80mil 0 34.6250mm 460.00mil 0 ""] +Rat[47.8658mm 28.6276mm 0 46.1129mm 369.80mil 0 ""] +Rat[46.1129mm 369.80mil 0 39.2247mm 365.53mil 0 ""] +Rat[30.3040mm 1169.00mil 0 1982.80mil 202.47mil 0 ""] +Rat[260.07mil 29.0454mm 0 46.1129mm 94.20mil 0 ""] +Rat[350.07mil 28.8168mm 0 45.6131mm 94.20mil 0 ""] +Rat[27.0120mm 35.47mil 0 18.1619mm 35.47mil 0 ""] +Rat[27.0120mm 35.47mil 0 27.0120mm 570.90mil 0 ""] +Rat[27.0120mm 570.90mil 0 18.1619mm 570.90mil 0 ""] +Rat[2285.00mil 40.6672mm 0 660.00mil 46.7632mm 0 ""] +Rat[660.00mil 46.7632mm 0 9.7483mm 85.94mil 0 ""] +Rat[490.00mil 46.7632mm 0 191.48mil 46.7632mm 0 ""] +Rat[490.00mil 46.7632mm 0 10.2484mm 85.94mil 0 ""] +Rat[54.1396mm 40.6672mm 0 517.17mil 28.0689mm 0 ""] +Rat[517.17mil 28.0689mm 0 12.0582mm 4.4926mm 0 ""] +Rat[56.4256mm 40.6672mm 0 590.00mil 30.6188mm 0 ""] +Rat[590.00mil 30.6188mm 0 12.0582mm 3.4929mm 0 ""] +Rat[47.5356mm 40.6672mm 0 10.7483mm 6.8025mm 0 ""] +Rat[49.8216mm 40.6672mm 0 7.4387mm 3.9927mm 0 ""] +Rat[311.48mil 46.7632mm 0 8.9802mm 13.4390mm 0 ""] +Rat[370.00mil 40.6672mm 0 165.00mil 40.6672mm 0 ""] +Rat[165.00mil 40.6672mm 0 86.48mil 46.7632mm 0 ""] +Rat[370.00mil 40.6672mm 0 9.4804mm 9.4692mm 0 ""] +Rat[9.4804mm 9.4692mm 0 8.9802mm 9.4692mm 0 ""] +Rat[975.00mil 40.6672mm 0 860.00mil 40.6672mm 0 ""] +Rat[860.00mil 40.6672mm 0 6.7455mm 11.2043mm 0 ""] +Rat[85.74mil 43.8422mm 0 405.74mil 43.8422mm 0 ""] +Rat[85.74mil 43.8422mm 0 1.2647mm 12.7222mm 0 ""] +Rat[54.26mil 43.8422mm 0 4.9718mm 43.8422mm 0 ""] +Rat[4.9718mm 43.8422mm 0 196.48mil 40.6672mm 0 ""] +Rat[521.48mil 46.7632mm 0 575.00mil 46.7632mm 0 ""] +Rat[575.00mil 46.7632mm 0 691.48mil 46.7632mm 0 ""] +Rat[411.48mil 46.7632mm 0 606.48mil 46.7632mm 0 ""] +Rat[411.48mil 46.7632mm 0 55.00mil 40.6672mm 0 ""] +Rat[280.00mil 46.7632mm 0 86.48mil 40.6672mm 0 ""] +Rat[280.00mil 46.7632mm 0 61.2516mm 40.6672mm 0 ""] +Rat[28.4856mm 40.6672mm 0 891.48mil 40.6672mm 0 ""] +Rat[36.9946mm 40.6672mm 0 25.5646mm 40.6672mm 0 ""] +Rat[25.5646mm 40.6672mm 0 750.00mil 40.6672mm 0 ""] +Rat[30.8910mm 812.98mil 0 260.07mil 1175.00mil 0 ""] +Rat[31.5407mm 812.98mil 0 350.07mil 1166.00mil 0 ""] +Rat[944.00mil 833.26mil 3 804.00mil 825.00mil 0 ""] +Rat[804.00mil 825.00mil 0 736.52mil 33.4536mm 0 ""] +Rat[944.00mil 833.26mil 3 47.7370mm 935.50mil 0 ""] +Rat[635.00mil 40.6672mm 0 545.00mil 40.6672mm 0 ""] +Rat[545.00mil 40.6672mm 0 460.00mil 40.6672mm 0 ""] +Rat[635.00mil 40.6672mm 0 781.48mil 40.6672mm 0 ""] +Rat[460.00mil 40.6672mm 0 55.00mil 46.7632mm 0 ""] +Rat[460.00mil 40.6672mm 0 471.00mil 917.00mil 0 ""] +Rat[471.00mil 917.00mil 0 471.00mil 839.00mil 0 ""] +Rat[781.48mil 40.6672mm 0 42.6080mm 35.5872mm 0 ""] +Rat[11.6350mm 1401.00mil 0 356.07mil 34.6334mm 0 ""] +Rat[11.6350mm 1401.00mil 0 706.00mil 825.00mil 0 ""] +Rat[706.00mil 825.00mil 0 30.2410mm 812.98mil 0 ""] +Rat[789.48mil 35.8412mm 0 1182.00mil 35.2062mm 0 ""] +Rat[1182.00mil 35.2062mm 0 36.4622mm 36.8318mm 0 ""] +Rat[36.4622mm 36.8318mm 0 48.1129mm 369.80mil 0 ""] Layer(1 "top") ( + Polygon("clearpoly") + ( + [2100.00mil 5.00mil] [2495.00mil 5.00mil] [2495.00mil 995.00mil] [2100.00mil 995.00mil] + ) ) Layer(2 "power") ( + Polygon("clearpoly") + ( + [5.00mil 5.00mil] [2495.00mil 5.00mil] [2495.00mil 995.00mil] [5.00mil 995.00mil] + ) ) Layer(3 "ground") ( + Polygon("clearpoly") + ( + [5.00mil 5.00mil] [1810.00mil 5.00mil] [1810.00mil 995.00mil] [5.00mil 995.00mil] + ) ) Layer(4 "bottom") ( @@ -1412,12 +1867,22 @@ NetList() ( Net("+3.3V" "(unknown)") ( + Connect("C8-2") + Connect("C11-2") Connect("C34-2") Connect("C36-2") Connect("C40-2") + Connect("J1-4") Connect("L5-2") Connect("L600-1") Connect("R36-1") + Connect("U3-4") + Connect("U3-9") + Connect("U3-11") + Connect("U3-14") + Connect("U3-15") + Connect("U3-18") + Connect("U4-16") Connect("U7-1") Connect("U7-24") Connect("U7-36") @@ -1433,17 +1898,39 @@ NetList() ) Net("cs_flash" "(unknown)") ( + Connect("J1-2") Connect("U7-14") ) Net("cs_radio" "(unknown)") ( + Connect("U3-7") Connect("U7-25") ) Net("GND" "(unknown)") ( Connect("B1-2") + Connect("C1-2") + Connect("C2-1") Connect("C4-1") Connect("C5-1") + Connect("C6-1") + Connect("C7-1") + Connect("C8-1") + Connect("C9-1") + Connect("C10-1") + Connect("C11-1") + Connect("C12-1") + Connect("C13-1") + Connect("C14-1") + Connect("C16-1") + Connect("C17-1") + Connect("C18-1") + Connect("C19-2") + Connect("C20-2") + Connect("C22-1") + Connect("C23-2") + Connect("C24-1") + Connect("C25-2") Connect("C32-2") Connect("C33-2") Connect("C36-1") @@ -1455,12 +1942,14 @@ NetList() Connect("C602-2") Connect("C610-1") Connect("H1-1") - Connect("H2-1") - Connect("H3-1") Connect("H4-1") + Connect("J1-6") + Connect("J2-2") Connect("J5-4") Connect("J5-5") Connect("J20-1") + Connect("L2-1") + Connect("R1-1") Connect("R33-2") Connect("R35-1") Connect("R51-1") @@ -1468,6 +1957,18 @@ NetList() Connect("R402-2") Connect("U1-2") Connect("U2-2") + Connect("U3-16") + Connect("U3-19") + Connect("U3-21") + Connect("U4-1") + Connect("U4-2") + Connect("U4-4") + Connect("U4-5") + Connect("U4-7") + Connect("U4-8") + Connect("U4-11") + Connect("U4-15") + Connect("U4-17") Connect("U7-8") Connect("U7-23") Connect("U7-35") @@ -1478,6 +1979,8 @@ NetList() Connect("U10-15") Connect("U10-19") Connect("U11-3") + Connect("X1-2") + Connect("X1-4") Connect("X2-2") Connect("X2-4") ) @@ -1485,6 +1988,8 @@ NetList() ( Connect("C34-1") Connect("C41-1") + Connect("H2-1") + Connect("H3-1") Connect("J10-2") Connect("U10-21") Connect("U10-22") @@ -1503,26 +2008,51 @@ NetList() ) Net("miso1" "(unknown)") ( + Connect("J1-7") Connect("U7-16") ) Net("miso2" "(unknown)") ( + Connect("U3-2") Connect("U7-27") ) Net("mosi1" "(unknown)") ( + Connect("J1-3") Connect("U7-17") ) Net("mosi2" "(unknown)") ( + Connect("U3-20") Connect("U7-28") ) + Net("pa_gain_8" "(unknown)") + ( + Connect("C9-2") + Connect("U4-12") + Connect("U7-21") + ) + Net("pa_gain_16" "(unknown)") + ( + Connect("C6-2") + Connect("U4-13") + Connect("U7-22") + ) + Net("pa_power" "(unknown)") + ( + Connect("C17-2") + Connect("C18-2") + Connect("U4-6") + Connect("U7-46") + ) Net("radio_int" "(unknown)") ( + Connect("U3-3") Connect("U7-30") ) Net("radio_marc_int" "(unknown)") ( + Connect("U3-6") Connect("U7-31") ) Net("reset_n" "(unknown)") @@ -1540,10 +2070,12 @@ NetList() ) Net("sck1" "(unknown)") ( + Connect("J1-5") Connect("U7-15") ) Net("sck2" "(unknown)") ( + Connect("U3-1") Connect("U7-26") ) Net("swclk" "(unknown)") @@ -1705,49 +2237,143 @@ NetList() ) Net("unnamed_net32" "(unknown)") ( - Connect("U7-21") + Connect("R101-2") + Connect("U7-32") ) Net("unnamed_net33" "(unknown)") ( - Connect("U7-22") + Connect("U7-29") ) Net("unnamed_net34" "(unknown)") ( - Connect("R101-2") - Connect("U7-32") + Connect("R102-2") + Connect("U7-33") ) Net("unnamed_net35" "(unknown)") ( - Connect("U7-29") + Connect("U7-38") ) Net("unnamed_net36" "(unknown)") ( - Connect("R102-2") - Connect("U7-33") + Connect("U7-45") ) Net("unnamed_net37" "(unknown)") ( - Connect("U7-38") + Connect("U7-39") ) Net("unnamed_net38" "(unknown)") ( - Connect("U7-45") + Connect("U7-40") ) Net("unnamed_net39" "(unknown)") ( - Connect("U7-39") + Connect("U7-41") ) Net("unnamed_net40" "(unknown)") ( - Connect("U7-40") + Connect("J1-8") ) Net("unnamed_net41" "(unknown)") ( - Connect("U7-41") + Connect("J1-9") ) Net("unnamed_net42" "(unknown)") ( - Connect("U7-46") + Connect("J1-1") + ) + Net("unnamed_net43" "(unknown)") + ( + Connect("C1-1") + Connect("L1-1") + Connect("U3-13") + ) + Net("unnamed_net44" "(unknown)") + ( + Connect("C3-1") + Connect("L2-2") + Connect("U3-12") + ) + Net("unnamed_net45" "(unknown)") + ( + Connect("C13-2") + Connect("U3-8") + Connect("X1-3") + ) + Net("unnamed_net46" "(unknown)") + ( + Connect("C7-2") + Connect("U3-10") + Connect("X1-1") + ) + Net("unnamed_net47" "(unknown)") + ( + Connect("C2-2") + Connect("U3-5") + ) + Net("unnamed_net48" "(unknown)") + ( + Connect("R1-2") + Connect("U3-17") + ) + Net("unnamed_net49" "(unknown)") + ( + Connect("C15-2") + Connect("U4-3") + ) + Net("unnamed_net50" "(unknown)") + ( + Connect("C19-1") + Connect("L7-1") + Connect("L8-2") + Connect("U4-9") + Connect("U4-10") + ) + Net("unnamed_net51" "(unknown)") + ( + Connect("L6-1") + Connect("R3-1") + Connect("U4-14") + ) + Net("unnamed_net52" "(unknown)") + ( + Connect("C21-2") + Connect("C24-2") + Connect("J2-1") + ) + Net("unnamed_net53" "(unknown)") + ( + Connect("C21-1") + Connect("C22-2") + Connect("L7-2") + ) + Net("unnamed_net54" "(unknown)") + ( + Connect("C3-2") + Connect("L1-2") + Connect("L3-1") + ) + Net("unnamed_net55" "(unknown)") + ( + Connect("C12-2") + Connect("L3-2") + Connect("L4-1") + ) + Net("unnamed_net56" "(unknown)") + ( + Connect("C15-1") + Connect("C16-2") + Connect("L4-2") + ) + Net("unnamed_net57" "(unknown)") + ( + Connect("C14-2") + Connect("R3-2") + ) + Net("unnamed_net58" "(unknown)") + ( + Connect("C10-2") + Connect("L6-2") + Connect("R2-1") ) Net("usbdm" "(unknown)") ( @@ -1768,7 +2394,12 @@ NetList() ) Net("v_lipo" "(unknown)") ( + Connect("C20-1") + Connect("C23-1") + Connect("C25-1") Connect("C39-2") + Connect("L8-1") + Connect("R2-2") Connect("U1-1") Connect("U1-3") ) diff --git a/telegps.sch b/telegps.sch index b950cb8..f79d1f0 100644 --- a/telegps.sch +++ b/telegps.sch @@ -572,51 +572,50 @@ loadstatus=noload T 73600 47400 5 10 0 1 0 0 1 nobom=1 } -C 74700 44100 1 0 0 gnd.sym -N 74200 46600 74800 46600 4 +C 74700 45900 1 0 0 gnd.sym +N 76800 47600 77400 47600 4 N 74200 47600 74800 47600 4 -N 74800 44400 74800 47600 4 -C 73600 46400 1 0 0 hole_plated.sym +C 76200 47400 1 0 0 hole_plated.sym { -T 73700 48200 5 10 0 0 0 0 1 +T 76300 49200 5 10 0 0 0 0 1 device=HOLE_PLATED -T 73200 46600 5 10 1 1 0 0 1 +T 75800 47600 5 10 1 1 0 0 1 refdes=H2 -T 73600 46400 5 10 0 0 0 0 1 +T 76200 47400 5 10 0 0 0 0 1 footprint=hole-M3 -T 73600 46400 5 10 0 1 0 0 1 +T 76200 47400 5 10 0 1 0 0 1 loadstatus=noload -T 73600 46400 5 10 0 1 0 0 1 +T 76200 47400 5 10 0 1 0 0 1 nobom=1 } -C 73600 45500 1 0 0 hole_plated.sym +C 76200 46500 1 0 0 hole_plated.sym { -T 73700 47300 5 10 0 0 0 0 1 +T 76300 48300 5 10 0 0 0 0 1 device=HOLE_PLATED -T 73200 45700 5 10 1 1 0 0 1 +T 75800 46700 5 10 1 1 0 0 1 refdes=H3 -T 73600 45500 5 10 0 0 0 0 1 +T 76200 46500 5 10 0 0 0 0 1 footprint=hole-M3 -T 73600 45500 5 10 0 1 0 0 1 +T 76200 46500 5 10 0 1 0 0 1 loadstatus=noload -T 73600 45500 5 10 0 1 0 0 1 +T 76200 46500 5 10 0 1 0 0 1 nobom=1 } -C 73600 44500 1 0 0 hole_plated.sym +C 73600 46300 1 0 0 hole_plated.sym { -T 73700 46300 5 10 0 0 0 0 1 +T 73700 48100 5 10 0 0 0 0 1 device=HOLE_PLATED -T 73200 44700 5 10 1 1 0 0 1 +T 73200 46500 5 10 1 1 0 0 1 refdes=H4 -T 73600 44500 5 10 0 0 0 0 1 +T 73600 46300 5 10 0 0 0 0 1 footprint=hole-M3 -T 73600 44500 5 10 0 1 0 0 1 +T 73600 46300 5 10 0 1 0 0 1 loadstatus=noload -T 73600 44500 5 10 0 1 0 0 1 +T 73600 46300 5 10 0 1 0 0 1 nobom=1 } -N 74200 45700 74800 45700 4 -N 74200 44700 74800 44700 4 +N 76800 46700 77400 46700 4 +N 74200 46500 74800 46500 4 T 73300 48200 9 10 1 0 0 0 2 mounting holes for 4-40 screws @@ -998,13 +997,6 @@ value=NoConnection T 51700 62800 5 10 0 0 0 0 1 device=DRC_Directive } -C 51700 60400 1 0 0 nc-left-1.sym -{ -T 51700 60800 5 10 0 0 0 0 1 -value=NoConnection -T 51700 61200 5 10 0 0 0 0 1 -device=DRC_Directive -} C 51700 67200 1 0 0 nc-left-1.sym { T 51700 67600 5 10 0 0 0 0 1 @@ -1033,20 +1025,6 @@ value=NoConnection T 51700 64400 5 10 0 0 0 0 1 device=DRC_Directive } -C 51700 60000 1 0 0 nc-left-1.sym -{ -T 51700 60400 5 10 0 0 0 0 1 -value=NoConnection -T 51700 60800 5 10 0 0 0 0 1 -device=DRC_Directive -} -C 51700 59600 1 0 0 nc-left-1.sym -{ -T 51700 60000 5 10 0 0 0 0 1 -value=NoConnection -T 51700 60400 5 10 0 0 0 0 1 -device=DRC_Directive -} C 65700 72400 1 0 0 nc-left-1.sym { T 65700 72800 5 10 0 0 0 0 1 @@ -1232,37 +1210,610 @@ T 44705 53595 5 10 1 1 0 6 1 value=microSD T 46000 49900 5 10 0 0 0 0 1 footprint=microSD +T 46000 49900 5 10 0 1 0 0 1 +vendor=digikey +T 46000 49900 5 10 0 1 0 0 1 +vendor_part_number=101-00303-68-1-ND +T 46000 49900 5 10 0 1 0 0 1 +loadstatus=smt } -C 66600 56700 1 0 0 cc115l.sym +C 65000 57000 1 0 0 cc115l.sym { -T 89095 110695 5 10 0 1 0 0 1 +T 87495 110995 5 10 0 1 0 0 1 device=IC -T 68495 59695 5 10 1 1 0 0 1 +T 66895 59995 5 10 1 1 0 0 1 value=CC115L -T 90995 113695 5 10 0 1 0 0 1 +T 89395 113995 5 10 0 1 0 0 1 footprint=ti-qfn20 -T 67100 61800 5 10 1 1 0 0 1 +T 65500 62100 5 10 1 1 0 0 1 refdes=U3 } -C 74500 57700 1 0 0 rfpa0133.sym +C 75500 58000 1 0 0 rfpa0133.sym { -T 75000 61800 5 10 1 1 0 0 1 +T 76000 62100 5 10 1 1 0 0 1 refdes=U4 -T 74500 57700 5 10 0 1 0 0 1 +T 75500 58000 5 10 0 1 0 0 1 device=IC -T 76000 59400 5 10 1 1 0 0 1 +T 77000 59700 5 10 1 1 0 0 1 value=RFPA0133 -T 74500 57700 5 10 0 1 0 0 1 +T 75500 58000 5 10 0 1 0 0 1 loadstatus=smt -T 74500 57700 5 10 0 0 0 0 1 +T 75500 58000 5 10 0 0 0 0 1 footprint=qfn-16 } -C 83000 59900 1 0 0 conn-2.sym +C 85000 60500 1 0 0 conn-2.sym { -T 83305 60545 5 10 1 1 0 0 1 +T 85305 61145 5 10 1 1 0 0 1 refdes=J2 -T 83000 59900 5 10 0 0 0 0 1 +T 85000 60500 5 10 0 0 0 0 1 footprint=sma-edge -T 83300 59700 5 10 1 1 0 0 1 +T 85300 60300 5 10 1 1 0 0 1 value=SMA } +C 84700 60100 1 90 0 capacitor.sym +{ +T 84000 60300 5 10 0 0 90 0 1 +device=CAPACITOR +T 84200 60300 5 10 1 1 90 0 1 +refdes=C24 +T 83800 60300 5 10 0 0 90 0 1 +symversion=0.1 +T 84700 60100 5 10 0 0 0 0 1 +footprint=0402 +} +C 83200 60100 1 90 0 capacitor.sym +{ +T 82500 60300 5 10 0 0 90 0 1 +device=CAPACITOR +T 82700 60300 5 10 1 1 90 0 1 +refdes=C22 +T 82300 60300 5 10 0 0 90 0 1 +symversion=0.1 +T 83200 60100 5 10 0 0 0 0 1 +footprint=0402 +} +C 83300 60800 1 0 0 capacitor.sym +{ +T 83500 61500 5 10 0 0 0 0 1 +device=CAPACITOR +T 83500 61300 5 10 1 1 0 0 1 +refdes=C21 +T 83500 61700 5 10 0 0 0 0 1 +symversion=0.1 +T 83300 60800 5 10 0 0 0 0 1 +footprint=0402 +} +C 81500 59300 1 0 0 capacitor.sym +{ +T 81700 60000 5 10 0 0 0 0 1 +device=CAPACITOR +T 81700 59800 5 10 1 1 0 0 1 +refdes=C20 +T 81700 60200 5 10 0 0 0 0 1 +symversion=0.1 +T 81500 59300 5 10 0 0 0 0 1 +footprint=0402 +} +C 81500 58300 1 0 0 capacitor.sym +{ +T 81700 59000 5 10 0 0 0 0 1 +device=CAPACITOR +T 81700 58800 5 10 1 1 0 0 1 +refdes=C23 +T 81700 59200 5 10 0 0 0 0 1 +symversion=0.1 +T 81500 58300 5 10 0 0 0 0 1 +footprint=0402 +} +C 81500 57300 1 0 0 capacitor.sym +{ +T 81700 58000 5 10 0 0 0 0 1 +device=CAPACITOR +T 81700 57800 5 10 1 1 0 0 1 +refdes=C25 +T 81700 58200 5 10 0 0 0 0 1 +symversion=0.1 +T 81500 57300 5 10 0 0 0 0 1 +footprint=0402 +} +C 80300 61000 1 270 0 capacitor.sym +{ +T 81000 60800 5 10 0 0 270 0 1 +device=CAPACITOR +T 80800 60800 5 10 1 1 270 0 1 +refdes=C19 +T 81200 60800 5 10 0 0 270 0 1 +symversion=0.1 +T 80300 61000 5 10 0 0 0 0 1 +footprint=0402 +} +C 81800 60900 1 0 0 inductor.sym +{ +T 82000 61400 5 10 0 0 0 0 1 +device=INDUCTOR +T 82000 61200 5 10 1 1 0 0 1 +refdes=L7 +T 82000 61600 5 10 0 0 0 0 1 +symversion=0.1 +T 81800 60900 5 10 0 0 0 0 1 +footprint=0402 +} +C 81600 59800 1 90 0 inductor.sym +{ +T 81100 60000 5 10 0 0 90 0 1 +device=INDUCTOR +T 81300 60000 5 10 1 1 90 0 1 +refdes=L8 +T 80900 60000 5 10 0 0 90 0 1 +symversion=0.1 +T 81600 59800 5 10 0 0 0 0 1 +footprint=0402 +} +C 84900 60300 1 0 0 gnd.sym +N 84200 61000 85000 61000 4 +N 83300 61000 82700 61000 4 +N 81800 61000 80000 61000 4 +N 81500 61000 81500 60700 4 +N 81500 56500 81500 59800 4 +{ +T 81300 56200 5 10 1 1 0 0 1 +netname=v_lipo +} +C 82700 59400 1 90 0 gnd.sym +C 82700 58400 1 90 0 gnd.sym +C 82700 57400 1 90 0 gnd.sym +C 80400 59800 1 0 0 gnd.sym +C 82900 59800 1 0 0 gnd.sym +C 84400 59800 1 0 0 gnd.sym +C 70300 60900 1 0 0 inductor.sym +{ +T 70500 61400 5 10 0 0 0 0 1 +device=INDUCTOR +T 70500 61200 5 10 1 1 0 0 1 +refdes=L1 +T 70500 61600 5 10 0 0 0 0 1 +symversion=0.1 +T 70300 60900 5 10 0 0 0 0 1 +footprint=0402 +} +C 71800 60400 1 0 0 inductor.sym +{ +T 72000 60900 5 10 0 0 0 0 1 +device=INDUCTOR +T 72000 60700 5 10 1 1 0 0 1 +refdes=L3 +T 72000 61100 5 10 0 0 0 0 1 +symversion=0.1 +T 71800 60400 5 10 0 0 0 0 1 +footprint=0402 +} +C 73300 60400 1 0 0 inductor.sym +{ +T 73500 60900 5 10 0 0 0 0 1 +device=INDUCTOR +T 73500 60700 5 10 1 1 0 0 1 +refdes=L4 +T 73500 61100 5 10 0 0 0 0 1 +symversion=0.1 +T 73300 60400 5 10 0 0 0 0 1 +footprint=0402 +} +C 70100 59400 1 90 0 inductor.sym +{ +T 69600 59600 5 10 0 0 90 0 1 +device=INDUCTOR +T 69800 59600 5 10 1 1 90 0 1 +refdes=L2 +T 69400 59600 5 10 0 0 90 0 1 +symversion=0.1 +T 70100 59400 5 10 0 0 0 0 1 +footprint=0402 +} +C 70300 60300 1 0 0 capacitor.sym +{ +T 70500 61000 5 10 0 0 0 0 1 +device=CAPACITOR +T 70500 60800 5 10 1 1 0 0 1 +refdes=C3 +T 70500 61200 5 10 0 0 0 0 1 +symversion=0.1 +T 70300 60300 5 10 0 0 0 0 1 +footprint=0402 +} +C 73200 59300 1 90 0 capacitor.sym +{ +T 72500 59500 5 10 0 0 90 0 1 +device=CAPACITOR +T 72700 59500 5 10 1 1 90 0 1 +refdes=C12 +T 72300 59500 5 10 0 0 90 0 1 +symversion=0.1 +T 73200 59300 5 10 0 0 0 0 1 +footprint=0402 +} +C 74700 59300 1 90 0 capacitor.sym +{ +T 74000 59500 5 10 0 0 90 0 1 +device=CAPACITOR +T 74200 59500 5 10 1 1 90 0 1 +refdes=C16 +T 73800 59500 5 10 0 0 90 0 1 +symversion=0.1 +T 74700 59300 5 10 0 0 0 0 1 +footprint=0402 +} +C 70200 61300 1 90 0 capacitor.sym +{ +T 69500 61500 5 10 0 0 90 0 1 +device=CAPACITOR +T 69700 61500 5 10 1 1 90 0 1 +refdes=C1 +T 69300 61500 5 10 0 0 90 0 1 +symversion=0.1 +T 70200 61300 5 10 0 0 0 0 1 +footprint=0402 +} +C 74600 60300 1 0 0 capacitor.sym +{ +T 74800 61000 5 10 0 0 0 0 1 +device=CAPACITOR +T 74800 60800 5 10 1 1 0 0 1 +refdes=C15 +T 74800 61200 5 10 0 0 0 0 1 +symversion=0.1 +T 74600 60300 5 10 0 0 0 0 1 +footprint=0402 +} +C 74400 59000 1 0 0 gnd.sym +C 72900 59000 1 0 0 gnd.sym +C 69900 59100 1 0 0 gnd.sym +C 70100 62500 1 180 0 gnd.sym +N 69500 61000 70300 61000 4 +N 70000 61300 70000 61000 4 +N 69500 60500 70300 60500 4 +N 70000 60500 70000 60300 4 +N 71200 60500 71800 60500 4 +N 71500 60500 71500 61000 4 +N 71500 61000 71200 61000 4 +N 72700 60500 73300 60500 4 +N 73000 60500 73000 60200 4 +N 74200 60500 74600 60500 4 +N 74500 60500 74500 60200 4 +C 69700 57100 1 90 0 capacitor.sym +{ +T 69000 57300 5 10 0 0 90 0 1 +device=CAPACITOR +T 69200 57300 5 10 1 1 90 0 1 +refdes=C7 +T 68800 57300 5 10 0 0 90 0 1 +symversion=0.1 +T 69700 57100 5 10 0 0 0 0 1 +footprint=0402 +} +C 71200 57100 1 90 0 capacitor.sym +{ +T 70500 57300 5 10 0 0 90 0 1 +device=CAPACITOR +T 70700 57300 5 10 1 1 90 0 1 +refdes=C13 +T 70300 57300 5 10 0 0 90 0 1 +symversion=0.1 +T 71200 57100 5 10 0 0 0 0 1 +footprint=0402 +} +N 69500 58500 69500 58000 4 +N 69500 58000 70000 58000 4 +N 69500 59000 71000 59000 4 +N 71000 59000 71000 58000 4 +N 71000 58000 70700 58000 4 +N 70700 57600 70700 57100 4 +N 70700 57100 71000 57100 4 +N 70000 57600 70000 57100 4 +N 70000 57100 69500 57100 4 +C 69400 56800 1 0 0 gnd.sym +C 70900 56800 1 0 0 gnd.sym +C 66600 56100 1 90 0 resistor.sym +{ +T 66200 56400 5 10 0 0 90 0 1 +device=RESISTOR +T 66300 56300 5 10 1 1 90 0 1 +refdes=R1 +T 66600 56100 5 10 0 0 0 0 1 +footprint=0402 +} +C 66400 55800 1 0 0 gnd.sym +C 66200 56100 1 90 0 capacitor.sym +{ +T 65500 56300 5 10 0 0 90 0 1 +device=CAPACITOR +T 65700 56300 5 10 1 1 90 0 1 +refdes=C2 +T 65300 56300 5 10 0 0 90 0 1 +symversion=0.1 +T 66200 56100 5 10 0 0 0 0 1 +footprint=0402 +} +C 65900 55800 1 0 0 gnd.sym +C 67900 56700 1 0 0 gnd.sym +N 68500 57000 67500 57000 4 +N 68500 62500 66000 62500 4 +C 67100 62500 1 0 0 3.3V-plus.sym +N 64000 60500 65000 60500 4 +{ +T 64000 60500 5 10 1 1 0 0 1 +netname=miso2 +} +N 64000 61000 65000 61000 4 +{ +T 64000 61000 5 10 1 1 0 0 1 +netname=mosi2 +} +N 64000 60000 65000 60000 4 +{ +T 64000 60000 5 10 1 1 0 0 1 +netname=sck2 +} +N 64000 59500 65000 59500 4 +{ +T 64000 59500 5 10 1 1 0 0 1 +netname=cs_radio +} +N 64000 59000 65000 59000 4 +{ +T 64000 59000 5 10 1 1 0 0 1 +netname=radio_int +} +N 64000 58500 65000 58500 4 +{ +T 64000 58500 5 10 1 1 0 0 1 +netname=radio_marc_int +} +C 76100 62800 1 0 0 capacitor.sym +{ +T 76300 63500 5 10 0 0 0 0 1 +device=CAPACITOR +T 76300 63300 5 10 1 1 0 0 1 +refdes=C11 +T 76300 63700 5 10 0 0 0 0 1 +symversion=0.1 +T 76100 62800 5 10 0 0 0 0 1 +footprint=0402 +} +C 76100 63800 1 0 0 capacitor.sym +{ +T 76300 64500 5 10 0 0 0 0 1 +device=CAPACITOR +T 76300 64300 5 10 1 1 0 0 1 +refdes=C8 +T 76300 64700 5 10 0 0 0 0 1 +symversion=0.1 +T 76100 63800 5 10 0 0 0 0 1 +footprint=0402 +} +C 74100 57800 1 0 0 capacitor.sym +{ +T 74300 58500 5 10 0 0 0 0 1 +device=CAPACITOR +T 74300 58300 5 10 1 1 0 0 1 +refdes=C17 +T 74300 58700 5 10 0 0 0 0 1 +symversion=0.1 +T 74100 57800 5 10 0 0 0 0 1 +footprint=0402 +} +C 74100 56800 1 0 0 capacitor.sym +{ +T 74300 57500 5 10 0 0 0 0 1 +device=CAPACITOR +T 74300 57300 5 10 1 1 0 0 1 +refdes=C18 +T 74300 57700 5 10 0 0 0 0 1 +symversion=0.1 +T 74100 56800 5 10 0 0 0 0 1 +footprint=0402 +} +N 75500 60000 75000 60000 4 +N 75000 60000 75000 56000 4 +{ +T 74600 55700 5 10 1 1 0 0 1 +netname=pa_power +} +N 77000 62500 77000 65000 4 +C 76800 65000 1 0 0 3.3V-plus.sym +C 75800 64100 1 270 0 gnd.sym +C 75800 63100 1 270 0 gnd.sym +C 73800 58100 1 270 0 gnd.sym +C 73800 57100 1 270 0 gnd.sym +N 80000 60000 80000 58500 4 +N 75500 58500 80000 58500 4 +N 75500 59500 75500 58500 4 +C 77700 58200 1 0 0 gnd.sym +C 74100 61300 1 0 0 capacitor.sym +{ +T 74300 62000 5 10 0 0 0 0 1 +device=CAPACITOR +T 74300 61800 5 10 1 1 0 0 1 +refdes=C9 +T 74300 62200 5 10 0 0 0 0 1 +symversion=0.1 +T 74100 61300 5 10 0 0 0 0 1 +footprint=0402 +} +C 72600 61300 1 0 0 capacitor.sym +{ +T 72800 62000 5 10 0 0 0 0 1 +device=CAPACITOR +T 72800 61800 5 10 1 1 0 0 1 +refdes=C6 +T 72800 62200 5 10 0 0 0 0 1 +symversion=0.1 +T 72600 61300 5 10 0 0 0 0 1 +footprint=0402 +} +C 77800 63800 1 0 0 capacitor.sym +{ +T 78000 64500 5 10 0 0 0 0 1 +device=CAPACITOR +T 78000 64300 5 10 1 1 0 0 1 +refdes=C14 +T 78000 64700 5 10 0 0 0 0 1 +symversion=0.1 +T 77800 63800 5 10 0 0 0 0 1 +footprint=0402 +} +C 77800 64800 1 0 0 capacitor.sym +{ +T 78000 65500 5 10 0 0 0 0 1 +device=CAPACITOR +T 78000 65300 5 10 1 1 0 0 1 +refdes=C10 +T 78000 65700 5 10 0 0 0 0 1 +symversion=0.1 +T 77800 64800 5 10 0 0 0 0 1 +footprint=0402 +} +C 79100 62800 1 90 0 inductor.sym +{ +T 78600 63000 5 10 0 0 90 0 1 +device=INDUCTOR +T 78800 63000 5 10 1 1 90 0 1 +refdes=L6 +T 78400 63000 5 10 0 0 90 0 1 +symversion=0.1 +T 79100 62800 5 10 0 0 0 0 1 +footprint=0402 +} +C 79600 62800 1 90 0 resistor.sym +{ +T 79200 63100 5 10 0 0 90 0 1 +device=RESISTOR +T 79300 63000 5 10 1 1 90 0 1 +refdes=R3 +T 79600 62800 5 10 0 0 0 0 1 +footprint=0402 +} +C 79400 64900 1 0 0 resistor.sym +{ +T 79700 65300 5 10 0 0 0 0 1 +device=RESISTOR +T 79600 65200 5 10 1 1 0 0 1 +refdes=R2 +T 79400 64900 5 10 0 0 0 0 1 +footprint=0402 +} +N 78000 62500 79500 62500 4 +N 79500 62500 79500 62800 4 +N 79000 62500 79000 62800 4 +N 79000 63700 79000 65000 4 +N 78700 65000 79400 65000 4 +N 78700 64000 79500 64000 4 +N 79500 64000 79500 63700 4 +C 77500 65100 1 270 0 gnd.sym +C 77500 64100 1 270 0 gnd.sym +N 80300 65000 81000 65000 4 +{ +T 81000 65100 5 10 1 1 0 6 1 +netname=v_lipo +} +N 75500 61500 75000 61500 4 +N 75500 61000 73500 61000 4 +N 73500 61000 73500 62500 4 +{ +T 73100 62600 5 10 1 1 0 0 1 +netname=pa_gain_16 +} +N 75000 61500 75000 62500 4 +{ +T 74600 62600 5 10 1 1 0 0 1 +netname=pa_gain_8 +} +C 73800 61600 1 270 0 gnd.sym +C 72300 61600 1 270 0 gnd.sym +C 70000 57600 1 0 0 ABM8.sym +{ +T 70200 58100 5 10 0 0 0 0 1 +device=CRYSTAL +T 69900 58200 5 10 1 1 0 0 1 +refdes=X1 +T 70200 58300 5 10 0 0 0 0 1 +symversion=0.1 +T 70300 58200 5 10 1 1 0 0 1 +value=26mhz +T 70000 57600 5 10 0 0 0 0 1 +vendor_part_number=887-1327-1-ND +T 70000 57600 5 10 0 0 0 0 1 +footprint=ABM8 +T 70000 57600 5 10 0 0 0 0 1 +vendor=digikey +T 70000 57600 5 10 0 1 0 0 1 +loadstatus=smt +} +N 50900 60100 52200 60100 4 +{ +T 50900 60200 5 10 1 1 0 0 1 +netname=pa_gain_8 +} +N 50900 59700 52200 59700 4 +{ +T 50900 59800 5 10 1 1 0 0 1 +netname=pa_gain_16 +} +N 50900 60500 52200 60500 4 +{ +T 50900 60600 5 10 1 1 0 0 1 +netname=pa_power +} +N 46500 54000 46500 52100 4 +N 46500 52100 46000 52100 4 +C 46300 54000 1 0 0 3.3V-plus.sym +N 47200 52900 46000 52900 4 +{ +T 47200 53000 5 10 1 1 0 6 1 +netname=cs_flash +} +N 46000 52500 47200 52500 4 +{ +T 47200 52600 5 10 1 1 0 6 1 +netname=mosi1 +} +N 47200 51700 46000 51700 4 +{ +T 47200 51800 5 10 1 1 0 6 1 +netname=sck1 +} +N 46500 49500 46500 51300 4 +N 46500 51300 46000 51300 4 +N 47200 50900 46000 50900 4 +{ +T 47200 51000 5 10 1 1 0 6 1 +netname=miso1 +} +C 46400 49200 1 0 0 gnd.sym +N 80000 60500 80000 61000 4 +C 46000 53200 1 0 0 nc-right-1.sym +{ +T 46100 53700 5 10 0 0 0 0 1 +value=NoConnection +T 46100 53900 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 46000 50400 1 0 0 nc-right-1.sym +{ +T 46100 50900 5 10 0 0 0 0 1 +value=NoConnection +T 46100 51100 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 46000 50000 1 0 0 nc-right-1.sym +{ +T 46100 50500 5 10 0 0 0 0 1 +value=NoConnection +T 46100 50700 5 10 0 0 0 0 1 +device=DRC_Directive +} +N 74800 47600 74800 46200 4 +N 77400 47600 77400 45900 4 +{ +T 77100 45600 5 10 1 1 0 0 1 +netname=gnd_rf +}